Digitale schakelingen. Nadelen van digitale technologie

“DIGITALE CIRCUIT-ENGINEERING”

KHARKOV 2006

Voorwoord

1 LOGISCHE EN CIRCUIT ENGINEERING GRONDSTOFFEN VAN DIGITALE MICROCIRCUIT ENGINEERING

1.2 Logische elementen

2 COMBINATIEDIAGRAMMEN

2.1 Grondbeginselen

2.2 Decoders

2.3 Encryptors

2.4 Demultiplexers

2.5 Multiplexers

2.6 Rekenkundige apparaten

3 TRIGGER-APPARATEN

3.1 Basisconcepten

3.2 Asynchrone RS-flipflop

3.3 Synchrone triggers

4 REGISTERS

4.2 Geheugenregisters

4.3 Schuifregisters

4.4 Omkeerregisters

4.5 Registers voor algemene doeleinden

5 TELLERS

5.4 Tellers terugdraaien

VOORWOORD

Deze methodologische handleiding bevat informatie die de studie van disciplines mogelijk maakt:

- “Digitaal circuitontwerp” voor studenten van specialiteit 5.091504 (Onderhoud van computers en intelligente systemen en netwerken);

- “Microcircuit engineering” voor studenten van specialiteit 5.090805 (Ontwerp, productie en onderhoud van elektronische producten);

- “Elektronische apparaten en micro-elektronica” voor studenten van specialiteit 5.090704 (Ontwerp, productie en onderhoud van radiotechnische apparaten).

Het materiaal dat in dit werk wordt gepresenteerd, is bedoeld om studenten vertrouwd te maken met de basisprincipes van moderne digitale microschakelingen en omvat de belangrijkste soorten digitale apparaten die op grote schaal worden gebruikt als onafhankelijke producten in de vorm van microschakelingen met lage en gemiddelde integratie, en als onderdeel van microschakelingen met een hoge mate van integratie: microprocessors en microcontrollers.

De handleiding bestaat uit vijf delen:

Logische en circuitfundamentals van digitale microschakelingen,

Combinatiecircuits,

Trigger-apparaten,

Registreert,

Tellers.

De presentatie van het materiaal is zo gestructureerd dat opeenvolgend “van eenvoudig naar complex” de theoretische basisprincipes van de analyse en synthese van digitale apparaten worden gepresenteerd. Elke sectie bevat subsecties die informatie bieden over de conventionele grafische aanduiding van het apparaat dat wordt bestudeerd, de operatietafel, functionele of schakelschema en werktimingdiagrammen waar nodig. Elk van de schema's krijgt een gedetailleerde beschrijving van de logica van de werking ervan, op een zodanige manier dat elke student van het onderwerp de principes van operatieanalyse beheerst digitale circuits en de nodige vaardigheden verworven. Elk van de bovenstaande diagrammen is typisch voor van dit apparaat. Dit sluit een andere circuitimplementatie niet uit.

Basisconcepten, definities en regels zijn vetgedrukt om het beheersen van het onderwerp gemakkelijker en visueler te maken.

Gezien het feit dat de presentatie van het materiaal wordt uitgevoerd in volgorde van toenemende complexiteit van de digitale apparaten die worden bestudeerd, en dat elk volgend onderwerp gebaseerd is op het materiaal van het vorige, is het raadzaam om dit leermiddel te gebruiken in de volgorde waarin de overeenkomstige secties bevinden zich.

Deze handleiding is niet alleen nuttig om te gebruiken bij het bestuderen van de theoretische grondslagen van digitale microschakelingen, maar ook bij het voorbereiden van laboratoriumwerk, met als doel de kennis te verdiepen en praktische vaardigheden te verwerven bij het assembleren en debuggen van digitale apparaten. De handleiding kan worden gebruikt voor zelfstudie, maar ook voor cursussen en diplomaprojecten.

1 LOGISCHE EN CIRCUITFUNDAMENTALEN VAN DIGITALE MICROCIRCUIT-ENGINEERING

1.1 Basisconcepten van logische algebra

Logica is de wetenschap van wetten en denkvormen.

Wiskundige logica - de wetenschap van toepassing wiskundige methoden voor het oplossen van logische problemen.

Allemaal digitaal computerapparatuur gebouwd op elementen die bepaalde logische bewerkingen uitvoeren. Sommige elementen zorgen voor de verwerking van binaire symbolen die digitale of andere informatie vertegenwoordigen, andere - het schakelen tussen kanalen waarlangs informatie wordt verzonden, en ten slotte andere - controle, activering diverse acties en het implementeren van de voorwaarden voor de implementatie ervan.

Elektrische signalen die inwerken op de ingangen en uitgangen van deze elementen hebben in de regel twee verschillende niveaus en kunnen daarom worden weergegeven door binaire symbolen, bijvoorbeeld 1 of 0. Laten we afspreken dat er een bepaalde gebeurtenis plaatsvindt (bijvoorbeeld , de aanwezigheid van een hoog spanningsniveau waarin -punt van het circuit) symbool 1. Dit symbool wordt een logische eenheid genoemd. De afwezigheid van een gebeurtenis wordt aangegeven met het symbool 0, ook wel logische nul genoemd.

Elk signaal aan de ingang of uitgang van een binair element wordt dus geassocieerd met een logische variabele, die slechts twee waarden kan aannemen: de toestand van een logische één (de gebeurtenis is waar) en de toestand van een logische nul (de gebeurtenis is waar). vals). Deze variabelen worden Booleaanse variabelen genoemd, naar de Engelse wiskundige J. Boole, die in de negentiende eeuw de basisprincipes van de wiskundige logica ontwikkelde. Laten we een logische variabele aangeven met x.

Verschillende Booleaanse variabelen kunnen aan elkaar gekoppeld worden door functionele afhankelijkheden. De uitdrukking y = f (x1, x2) geeft bijvoorbeeld de functionele afhankelijkheid aan van de logische variabele y van de logische variabelen x1 en x2, argumenten of invoervariabelen genoemd.

Elke logische functie kan altijd worden weergegeven als een reeks eenvoudige logische bewerkingen. Dergelijke operaties omvatten:

Negatie (bewerking "NIET");

Logische vermenigvuldiging (conjunctie, “AND”-bewerking);

Logische optelling (disjunctie, OR-bewerking).

Negatie (GEEN bewerking) is een logische verbinding tussen een logische invoervariabele x en een logische uitvoervariabele y, zodat y alleen waar is als x onwaar is, en omgekeerd, y alleen onwaar is als x waar is. Laten we deze functionele relatie weergeven in de vorm van tabel 1.1, die een waarheidstabel wordt genoemd.

Een waarheidstabel is een tabel die de overeenkomst weergeeft van alle mogelijke combinaties van binaire argumentwaarden met de waarden van een logische functie.

Tabel 1.1- Waarheidstabel van de “NOT”-operatie

X j
0 1
1 0

De logische functie NOT van de variabele y wordt geschreven als y = en luidt: “y is niet x.” Als x bijvoorbeeld een uitspraak is over de aanwezigheid van een signaal van hoog niveau (logische nul), dan komt y overeen met een uitspraak over de aanwezigheid van een signaal van laag niveau (logische nul).

Logische vermenigvuldiging (conjunctie, AND-bewerking) is een functie die alleen waar is als alle variabelen die worden vermenigvuldigd tegelijkertijd waar zijn. De waarheidstabel van de logische vermenigvuldigingsoperatie komt overeen met tabel 1.2.

Tabel 1.2- Waarheidstabel van logische vermenigvuldiging

x2 x1 j
0 0 0
0 1 0
1 0 0
1 1 1

De AND-bewerking wordt aangegeven met een punt ( ). Soms is het punt impliciet. De AND-bewerking tussen twee variabelen x1 en x2 wordt bijvoorbeeld aangegeven als y = x1 x2.

Logische optelling (disjunctie, OR-bewerking) is een functie die alleen onwaar is als alle opgetelde variabelen tegelijkertijd onwaar zijn. De waarheidstabel van de logische optelling komt overeen met tabel 1.3. De “OF”-bewerking wordt aangegeven met het teken V. Bijvoorbeeld: y = x1 V x2.

Tabel 1.3 - Waarheidstabel van de logische optelling

x2 x1 j
0 0 0
0 1 1
1 0 1
1 1 1

1.2 Logische elementen

1.2.1 Algemene informatie over logische elementen

Logische elementen zijn elektronische schakelingen die de eenvoudigste logische functies implementeren.

Logische elementen worden schematisch weergegeven in de vorm van rechthoeken, op het veld waarvan een symbool is afgebeeld dat de functie aangeeft die door dit element wordt uitgevoerd. Figuur 1.1 toont bijvoorbeeld de symbolen van elementen die de logische functies NOT, AND, OR, AND-NOT, OR-NOT implementeren.

Figuur 1.1 - Symbolen van logische elementen NOT, AND, OR, AND-NOT, NOR-NOT

Invoervariabelen worden meestal aan de linkerkant weergegeven en uitvoervariabelen aan de rechterkant. Er wordt aangenomen dat de overdracht van informatie van links naar rechts plaatsvindt.

Als de uitgangen van sommige elementen zijn verbonden met de ingangen van andere, krijgen we een circuit dat een complexere functie implementeert. Er zal een reeks verschillende soorten elementen worden aangeroepen die voldoende zijn om elke logische functie te reproduceren logische basis. De AND- en NOT-elementen vertegenwoordigen zo'n logische basis.

Een logische basis kan uit slechts één type element bestaan, bijvoorbeeld een EN-NIET-element, waarvan het schema in figuur 2 wordt getoond. 1.2.

Figuur 1.2 - Schema voor het verkrijgen van het AND-NOT-element

De veelzijdigheid van het AND─NOT-element heeft ervoor gezorgd dat het op grote schaal wordt gebruikt bij het creëren van logische apparaten van digitale computertechnologie.

Er zijn een aantal andere elementen die eenvoudige logische functies implementeren. Deze omvatten bijvoorbeeld het modulo twee sommatie-element (exclusieve OR), dat de functie van ongelijke significantie van twee variabelen implementeert:

De waarheidstabel en het symbool voor een dergelijk element worden getoond in Fig. 1.3.

X2 X1 U
0 0 0
0 1 1
1 0 1
1 1 0

Figuur 1.3 - Waarheidstabel en symbool van het “exclusieve OR”-element

De dispariteitsfunctie is alleen gelijk aan één in het geval dat de variabelen xl en x2 verschillende waarden hebben.

1.2.2 Parameters van logische elementen

De eenvoudigste digitale elementen worden gekenmerkt door de volgende parameters:

Snelheid tз ср,

Laadvermogen (uitvoervertakkingsverhouding) p,

Ingangscombinatiecoëfficiënt (aantal ingangen van het logische element) t,

Ruisimmuniteit Un,

Stroomverbruik Рср,

Voedingsspanning U,

Signaalniveau.

Prestaties zijn een van de belangrijkste parameters, gekenmerkt door de gemiddeldetijd

waar en zijn de in- en uitschakelvertragingen van het circuit (Figuur 1.4).

Afbeelding 1.4 - Vertragingen voor het in- en uitschakelen van het circuit

Het laadvermogen laat zien hoeveel logische ingangen tegelijkertijd kunnen worden aangesloten op de uitgang van een bepaald logisch element zonder de werking ervan te verstoren.

De ingangscombinatiecoëfficiënt bepaalt het maximaal mogelijke aantal ingangen van een logisch element. Het vergroten van m breidt de logische mogelijkheden van het circuit uit als gevolg van de implementatie van een functie uit een groter aantal argumenten op één element van AND-NOT, OR-NOT, etc., maar tegelijkertijd verslechteren de prestaties en de ruisimmuniteit.

Ruisimmuniteit karakteriseert het vermogen van een element om correct te functioneren in de aanwezigheid van interferentie. De ruisimmuniteit wordt bepaald door de maximaal toegestane stoorspanning waarbij de werking van de schakeling gewaarborgd is.

Het stroomverbruik wordt gekenmerkt door een gemiddelde waarde

Рср = (Р0 + Р3)/ 2,

waarbij P0 en P3 het energieverbruik zijn in de open en gesloten toestand van het circuit. In dit geval wordt aangenomen dat ongeveer de helft van de circuits in het apparaat op elk moment open is. Bij apparaten met een complexe omvormer hangt het stroomverbruik echter af van de frequentie waarmee ze worden geschakeld. Daarom is het hier noodzakelijk om rekening te houden met het gemiddelde energieverbruik bij de maximaal toegestane herhalingsfrequentie van schakelpulsen en een werkcyclus van twee. Bij het bepalen van dit vermogen wordt een middeling uitgevoerd over de volledige schakelperiode van de schakeling.

Logische elementen worden ook gekenmerkt door het aantal gebruikte voedingen en voedingsspanningswaarden, evenals de polariteit en het niveau van in- en uitgangssignalen.

1.2.3 Basis logische poortcircuits

Van alle verscheidenheid aan circuitontwerpen en technologisch ontwerp van digitale circuits zijn twee hoofdtypen het meest wijdverspreid: TTL- en MOS-circuits.

1.2.3.1 Basis-TTL-geïntegreerde schakelingen

Het belangrijkste kenmerk van TTL-elementen is het gebruik van multi-emitter-transistors (MET's), die de "AND"-functie implementeren. Basis geïntegreerde TTL-circuits implementeren de NAND-functie en hebben twee soorten uitgangen: met een belasting in de collector van de uitgangstransistor VT4 (R3, VT3, VD) en met een open collector. Beide opties worden weergegeven in figuren 1.5 en 1.6.

Figuur 1.5 - Basis TTL-geïntegreerde schakeling met een belasting in de collector van de uitgangstransistor

Figuur 1.6 - Basis open collector TTL-geïntegreerd circuit

In het circuit in figuur 1.5 is een complexe omvormer geïmplementeerd op de transistoren VT2-VT4, die de "NIET" -bewerking uitvoert, waardoor een hoge belastingscapaciteit, voldoende snelheid en ruisimmuniteit van het circuit konden worden gegarandeerd. Bovendien is er in het uitgangscircuit geen doorgaande stroom door het +5V-circuit via R3 – VT3 – VD – VT4 – gemeenschappelijke draad, omdat in welke toestand dan ook is een van de transistors VT3 of VT4 gesloten.

Het circuit in figuur 1.6 met een open collector maakt het mogelijk om veel parallelle uitgangen te hebben, waardoor de belastingscapaciteit van het circuit toeneemt.

Laten we het werkingsprincipe van een basis-TTL-circuit (Figuur 1.5) eens bekijken voor twee gevallen die overeenkomen met verschillende sets ingangssignalen.

Geval 1. Als alle ingangen van MET VT1 worden voorzien van spanningen die overeenkomen met het niveau van logische één, dan zijn de emitterovergangen van VT1 gesloten en stroomt er stroom door weerstand R1, de open collectorovergang naar de basis van transistor VT2, waardoor deze wordt geopend . Nu stroomt de stroom door weerstand R2, opent VT2, en dan komt de versterkte stroom van de emitter VT2 de basis van de uitgangsinverterende transistor VT4 binnen, waardoor deze wordt geopend voor verzadiging, waardoor de uitgang wordt verbonden met de gemeenschappelijke draad - en de spanning aan uitgang Y zal corresponderen met het niveau van de logische nul. In dit geval zal transistor VT3 gesloten zijn, omdat het basispotentieel zal niet groter zijn dan 1V, wat niet genoeg is om VT3 te openen.

Echt:

UbVT3 = UbeVT4 + UkeVT2 = 0,7 + 0,3 = 1V;

UеVT3 = UеVT4 + UVD = 0,3 + 0,7 = 1V.

UеVT3 = UеVT3 – UеVT3 = 1 – 1 = 0.

Geval 2. Als er een ingangsspanning verschijnt die overeenkomt met een logisch nulniveau op ten minste één ingang van de MET VT1, dan zal de corresponderende basis-emitterovergang VT1 openen, zal de MET in verzadigingstoestand gaan en zal het potentieel van zijn collector worden bijna nul.

Nauwkeuriger gezegd: als we aannemen dat de logische nul niet groter is dan 0,3 V en de spanningsval over de open basis-emitterovergang VT1 0,7 V bedraagt, dan zal de basispotentiaal van VT1 niet meer zijn dan 0,3 + 0,7 = 1 V. Bijgevolg zal VT2 sluiten, en VT4 zal sluiten omdat om ze te openen heb je 0,7V nodig en plus 0,7V om de basis-collectorovergang VT1 te openen. Om de VT2 - VT4-keten te openen, is het dus noodzakelijk dat er aan de basis van VT1 minimaal 0,7 + 0,7 + 0,7 = 2,1V is, wat overeenkomt met het eerste geval.

Transistor VT3 gaat open bij volgende reden. Omdat Als VT2 gesloten is, loopt er geen stroom door R2 en dienovereenkomstig een spanningsval erover, zodat de potentiaal aan de collector van VT2, en dus aan de basis van VT3, toeneemt tot 5V. Aan de uitgang van het circuit wordt een spanning ingesteld die overeenkomt met het niveau van een logische eenheid, die wordt geleverd via open VT3 vanaf +5V.

Naast de besproken TTL-circuits zijn er driestatuscircuits beschikbaar om dit te garanderen samenwerking met snelweglijnen (Figuur 1.7).

Figuur 1.7 - Basis drie-status TTL-geïntegreerd circuit

De naam van deze circuits kan misleidend zijn, omdat het eigenlijk geen tri-spanningspoorten zijn. Dit zijn de meest voorkomende logische circuits die een derde uitgangsstatus hebben: "open". Ze combineren alle voordelen van elementen met een weerstand in het belastingscircuit en de mogelijkheid om op een gemeenschappelijke bus te werken, die een circuit met een open collector heeft. Driestatuscircuits hebben een aparte poortingang C (meestal aangeduid als CS (Chip Select)), met behulp waarvan ze (wanneer er een logische nul op wordt toegepast) in de derde toestand kunnen worden gezet, ongeacht welke signalen erop inwerken de logische ingangen. De derde toestand wordt gekenmerkt door het feit dat beide transistoren VT3 en VT4 gesloten zijn en de uitgang niet is verbonden met +5V of met de gemeenschappelijke draad.

Vanwege hun verbeterde eigenschappen worden ze meestal gebruikt als buschauffeurs in plaats van open collectorcircuits. In dit geval is het niet nodig om een ​​belastingsweerstand te installeren.

1.2.3.2 Logische circuits gebaseerd op MOS-transistors

Momenteel worden er verschillende soorten logische circuits geproduceerd op basis van MOS-transistors. De eigenaardigheid van IC's gebaseerd op MOS-structuren is dat er geen weerstanden in deze circuits zitten en dat de rol van niet-lineaire weerstanden wordt vervuld door op de juiste manier aangesloten transistors. Ze hebben een hoog laadvermogen en zijn ongevoelig voor ruis, nemen weinig ruimte in op het oppervlak van de chip; ze zijn technologisch geavanceerd en goedkoop. MOSFET's zijn in principe vergelijkbaar met vacuümbuizen, omdat ze worden bestuurd door spanning in plaats van door stroom.

Circuits gebaseerd op MOS-transistors zijn nog steeds langzamer dan circuits gebaseerd op bipolaire transistors, wat wordt verklaard door de vrij aanzienlijke capaciteiten gevormd tussen de gate, source, drain en substraat van de MOS-transistor, die een bepaalde tijd nodig hebben om op te laden.

De meest gebruikte zijn CMOS-circuits (complementaire MOS-circuits), waarin zowel p-kanaal- als p-kanaaltransistors samen worden gebruikt.

De voordelen van circuits op basis van CMOS-transistors zijn een laag stroomverbruik, hoge prestaties en verhoogde ruisimmuniteit. De basis van alle logische CMOS-circuits is de CMOS-inverter (Figuur 1.8).

Figuur 1.8 - CMOS-omvormer

Hier heeft de onderste transistor een n-type kanaal, de bovenste een p-type kanaal. De poorten van beide transistoren worden gecombineerd en er wordt een stuurspanning op aangelegd. De substraten zijn verbonden met de bronnen. Wanneer een hoge spanning (logisch) wordt ontvangen aan de ingang, wordt een transistor met een n-type kanaal (onderste) geopend en een transistor met een p-type kanaal (bovenste) gesloten. De uitgang is een logisch nulsignaal.

Wanneer daarentegen een spanning die overeenkomt met een logisch nulniveau op de ingang wordt aangelegd, wordt de bovenste transistor geopend en de onderste gesloten. De uitgang is een logisch signaal.

Een circuit dat de NOR-functie implementeert, wordt getoond in figuur 1.9.

Figuur 1.9 - CMOS NOR-circuit

Wanneer op ingang A een spanning wordt ontvangen die overeenkomt met een logisch één-niveau, opent transistor VT4 en sluit VT1, waardoor de uitgangsspanning zal corresponderen met een logisch nul-niveau. Wanneer een spanning die overeenkomt met een logisch nulniveau wordt aangelegd aan de ingangen A en B, sluiten de transistoren VT3 en VT4 en gaan VT1 en VT2 open. In dit geval zal de uitgangsspanning overeenkomen met het niveau van een logische spanning (d.w.z. dichtbij spanning E).

Het circuit dat de NAND-functie implementeert, wordt weergegeven in figuur 1.10.

Figuur 1.10 - CMOS NAND-circuit

De nadelen van CMOS-technologie zijn onder meer het feit dat het onmogelijk is dezelfde hoge pakkingsdichtheid te bereiken als met MOS-technologie vanwege enige redundantie van transistors. CMOS-circuits laten echter niet constant stroom stromen, waardoor het stroomverbruik in de statische modus aanzienlijk wordt verminderd. In de dynamische modus neemt het energieverbruik toe als gevolg van het opladen van de interelektrodecapaciteiten van transistors en het gelijktijdig openen van alle transistoren op het moment van schakelen, dat wil zeggen dat het energieverbruik van dergelijke circuits toeneemt met toenemende schakelfrequentie.

1.3 Basiswetten van logische algebra

De volgende basiswetten worden aanvaard in de algebra van de logica:

Commutatief (commutatieve eigenschappen)

x1 V x2 = x2V x1

x1 x2 = x2 x1

Conjunctief (associativiteitseigenschappen)

x1 V (x2 V x 3) = (x1 V x2) V x 3

x1 (x2 x 3) = (x1 x2) x 3

Distributief (distributieve eigenschappen)

x1 V x2 x 3 = (x1 V x2) (x1 V x3)

x1 (x2 V x 3) = x1 x2 V x1 x3

Wet van inversie (regel van De Morgan)

Wet van binding

De commutatieve en combinatorische wetten zijn te vinden in de gewone algebra en staan ​​buiten twijfel.

Er bestaat geen distributieve wet voor vermenigvuldiging en de wet van inversie in de gewone algebra. Het bewijs van deze wetten kan worden gedaan door waarheidstabellen samen te stellen voor de rechter- en linkerkant van de vergelijkingen die een bepaalde wet beschrijven.

De wet van inversie kan worden gebruikt om van disjunctie naar conjunctie te gaan, en omgekeerd. Als we dus bijvoorbeeld inversie toepassen op de linker- en rechterdelen van uitdrukkingen die de wet van inversie weerspiegelen, krijgen we , en verder . Een dergelijke transformatie kan nodig zijn bij het ontwerpen van een logisch circuit voor de overgang naar een NAND-basis.

Volgens de wet van het lijmen verschilt elk paar elementaire producten dat wordt gecombineerd in slechts één variabele (x2), die het eerste product zonder negatie binnenkomt, en het tweede met negatie. Dergelijke elementaire producten worden naburig genoemd. Op aangrenzende producten wordt de wet van het lijmen toegepast, waardoor het aantal opgetelde producten en het aantal variabelen met één afnemen. De enige variabele die overblijft is de variabele die niet verandert.

1.4 Disjunctieve normaalvormen

Er kunnen veel verschillende vormen worden gebruikt om dezelfde logische algebrafunctie te schrijven. Vormen die de som van elementaire producten vertegenwoordigen, worden disjunctieve normaalvormen (DNF's) genoemd.

Een elementair product is een product waarin de factoren slechts individuele variabelen of hun ontkenningen zijn.

Het is duidelijk dat dezelfde functie kan worden weergegeven door veel verschillende DNF's. Er zijn echter typen DNF waarin de functie op een unieke manier kan worden geschreven. Deze vormen worden perfecte disjunctieve normaalvormen (PDNF) genoemd. SDNF wordt gedefinieerd als de som van elementaire producten waarin alle variabelen aanwezig zijn, met of zonder negatie.

De regel voor het schrijven van een SDNF-functie volgens de waarheidstabel:

Voor alle combinaties van invoervariabelen die de functie in één veranderen, noteert u elementaire producten, keert u de variabelen om die gelijk zijn aan nul in een gegeven combinatie, en verbindt u alle resulterende elementaire producten met logische sommatietekens.

Laten we eens kijken naar een voorbeeld. Laat de functie gespecificeerd worden door een waarheidstabel (Tabel 1.4). Het is vereist om de SDNF-functie te schrijven met behulp van de waarheidstabel.

Tabel 1.4- Waarheidstabel

x2 x1 x0 F(x2, x1, x0)
0 0 0 0
0 0 1 1
0 1 0 0
0 1 1 0
1 0 0 1
1 0 1 1
1 1 0 0
1 1 1 0

de waarheidstabel van zo'n functie bevat drie rijen waarin de functie gelijk is aan één. Elk van deze lijnen komt overeen met bepaalde combinatie invoervariabelen, namelijk: 001, 100 en 101.

Laten we de SDNF-registratieregel toepassen op de functie gepresenteerd in Tabel 1.4 en drie elementaire producten verkrijgen die overeenkomen met de invoercombinaties. Door deze producten te verbinden met logische sommatietekens komen we bij de SDNF:

F(x2, x1, x0) = .

1.5 Minimalisatie van logische functies

SDNF is niet altijd de eenvoudigste uitdrukking van een functie. Identieke transformaties maken het mogelijk om de uitdrukkingen van logische functies aanzienlijk te vereenvoudigen (minimaliseren). Elke logische functie wordt geïmplementeerd met behulp van een specifieke set apparaten. Hoe minder elementen een uitdrukking bevat, hoe eenvoudiger het circuit dat de overeenkomstige logische functie implementeert. Daarom is het van groot belang om methoden te overwegen voor het minimaliseren van logische functies.

Er zijn analytische en tabellarische minimalisatiemethoden.

1.5.1 Analysemethoden

De meest gebruikelijke methode is direct identiteitstransformaties. Deze methode bestaat uit het sequentieel toepassen van de wetten en regels van identieke transformaties van de algebra van de logica op een bepaalde formule.

de methode van directe transformaties leent zich niet voor duidelijke algoritmisering. De acties die worden gebruikt bij de implementatie van deze methode worden bepaald door het type originele uitdrukking dat wordt omgezet, de kwalificaties van de uitvoerder en andere subjectieve factoren. De afwezigheid van een dergelijke algoritmisering vergroot de kans op fouten aanzienlijk en de mogelijkheid om een ​​onvolledig geminimaliseerde formule te verkrijgen.

De directe transformatiemethode is hiervoor het meest geschikt eenvoudige formules, wanneer de volgorde van transformaties duidelijk is voor de uitvoerder. Meestal wordt deze methode gebruikt voor de uiteindelijke minimalisatie van uitdrukkingen die zijn verkregen nadat ze met andere methoden zijn geminimaliseerd.

De wens om de zoektocht naar aangrenzende elementaire producten te algoritmen leidde tot de ontwikkeling van tabellarische methoden voor het minimaliseren van logische functies. Eén daarvan is een methode gebaseerd op het gebruik van Karnaugh-kaarten.

1.5.2 Gebruik van Karnaugh-kaarten

De Carnot-kaart is grafische weergave Waarheidstabellen van logische functies.

Het is een tabel met 2n rechthoekige cellen, waarbij n het aantal logische variabelen is. Een Karnaugh-kaart voor een functie van vier variabelen heeft bijvoorbeeld 24 = 16 cellen. De structuur van Karnaugh-kaarten voor functies van twee en drie variabelen wordt hieronder weergegeven.

Figuur 1.11 - Waarheidstabel (a) en structuur van Carnaugh-kaarten (b) voor een functie van twee variabelen

Figuur 1.12 - Waarheidstabel (a) en structuur van Carnaugh-kaarten (b) voor een functie van drie variabelen

De kaart is gemarkeerd met een coördinatensysteem dat overeenkomt met de waarden van de invoervariabelen. De bovenste regel van de kaart voor een functie van drie variabelen komt bijvoorbeeld overeen met de nulwaarde van de variabele x1, en de onderste regel komt overeen met de eenheidswaarde ervan. Elke kolom van deze kaart wordt gekenmerkt door de waarden van twee variabelen: x2 en x3. De combinatie van getallen die elke kolom markeren, laat zien voor welke waarden van de variabelen x2 en x3 de in de cellen van deze kolom geplaatste functie wordt berekend.

Indien op de opgegeven set variabele functie gelijk is aan één, dan bevat de SDNF noodzakelijkerwijs een elementair product dat de eenheidswaarde van deze set aanneemt. De cellen van de Carnot-kaart die een functie vertegenwoordigen, bevatten dus evenveel eenheden als er elementaire producten zijn in de SDNF, en elke eenheid komt overeen met een van de elementaire producten.

Laten we opmerken dat de coördinaten van de rijen en kolommen in de Carnaugh-kaart niet de natuurlijke volgorde van toenemende binaire codes volgen, maar in de volgorde 00, 01, 11, 10. De verandering in de volgorde van de sets wordt zo gedaan dat aangrenzende sets zijn aangrenzend, d.w.z. . verschilden in de waarde van slechts één variabele. Cellen waarin de functie waarden aanneemt die gelijk zijn aan één, worden gevuld met enen. De overige cellen zijn gevuld met nullen.

Laten we het minimalisatieproces eens bekijken aan de hand van het voorbeeld in figuur 1.13.

Eerst vormen we rechthoeken die 2k cellen bevatten, waarbij k een geheel getal is. Naburige cellen die overeenkomen met aangrenzende elementaire producten worden gecombineerd tot rechthoeken.

Figuur 1.13 – Waarheidstabel (a) en Carnaugh-kaart (b)

In figuur 1.13b worden bijvoorbeeld cellen gecombineerd met de coördinaten 001 en 101. Wanneer deze cellen worden gecombineerd, wordt een rechthoek gevormd waarin de variabele x1 van waarde verandert. Bijgevolg zal het verdwijnen wanneer de corresponderende elementaire producten aan elkaar worden gelijmd en zullen alleen x2 en x3 overblijven, en we nemen de variabele x2 in omgekeerde vorm, omdat het is gelijk aan 0.

De cellen in de eerste rij (Figuur 1.13,b) bevatten eenheden en liggen naast elkaar. Daarom worden ze allemaal gecombineerd in een rechthoek met 22 = 4 cellen.

Variabelen x2 en x3 binnen de rechthoek veranderen hun waarde; daarom zullen ze verdwijnen uit het resulterende elementaire product. De variabele x1 blijft ongewijzigd en gelijk aan nul. Het elementaire product dat wordt verkregen door het combineren van de cellen van de eerste rij van figuur 1.13.6 bevat dus slechts één x1, die we in omgekeerde vorm nemen, omdat het is gelijk aan 0. Dit volgt met name uit het feit dat de vier cellen van de eerste rij overeenkomen met de som van vier elementaire producten:

De functie die overeenkomt met figuur 1.6 heeft de vorm:

De verzameling rechthoeken die alle eenheden bedekt, wordt een afdekking genoemd. Houd er rekening mee dat dezelfde cel (bijvoorbeeld een cel met coördinaten 001) twee of meer keren kan worden bedekt.

We kunnen dus de volgende conclusies trekken:

1. De formule die resulteert uit het minimaliseren van een logische functie met behulp van Carnaugh-kaarten bevat de som van evenveel elementaire producten als er rechthoeken in de dekking zijn.

2. Hoe meer cellen er in een rechthoek zitten, des te minder variabelen er in het corresponderende elementaire product zitten.

Voor de Carnot-kaart in figuur 1.14a komt een rechthoek met vier cellen bijvoorbeeld overeen met een elementair product van twee variabelen, en komt een vierkant dat uit slechts één cel bestaat overeen met een elementair product dat alle vier de variabelen omvat.

Figuur 1.14 – Carnaugh-kaarten voor functies van vier variabelen

De functie die overeenkomt met de dekking weergegeven in Figuur 1.14, a, heeft de vorm:

Ondanks het feit dat Carnot-kaarten in een vlak zijn afgebeeld, wordt de buurt van vierkanten op het oppervlak van de torus vastgesteld. De boven- en ondergrenzen van de Carnaugh-kaart lijken ‘aan elkaar gelijmd’ te zijn en vormen het oppervlak van een cilinder. Bij het lijmen van de zijgrenzen wordt een torusvormig oppervlak verkregen. Door de bovenstaande redenering te volgen, stellen we vast dat de cellen met de coördinaten 1011 en 0011, weergegeven in figuur 1.14, b, aangrenzend zijn en gecombineerd zijn tot een rechthoek. De aangegeven cellen komen inderdaad overeen met de som van elementaire producten

De overige vier eenheidscellen worden op dezelfde manier gecombineerd. Als resultaat van hun combinatie verkrijgen we een elementair product. Ten slotte heeft de functie die overeenkomt met de dekking die is weergegeven in figuur 1.14, b, de vorm

De Karnaugh-kaart, weergegeven in figuur 1.7, c, bevat afzonderlijke cellen in de hoeken. Alle vier de cellen grenzen aan elkaar en geven bij combinatie het elementaire product.

Met de hierboven besproken voorbeelden kunnen we het volgende formuleren:

Volgorde van het minimaliseren van logische functies met behulp van Karnaugh-kaarten

1. Er wordt een tabel voor n variabelen weergegeven en de zijkanten ervan zijn gemarkeerd.

2. De tabelcellen die overeenkomen met sets variabelen die de functie in één veranderen, zijn gevuld met enen, de overige cellen zijn gevuld met nullen.

3. De beste dekking van de tafel wordt geselecteerd met regelmatige rechthoeken, die we schetsen. Elke rechthoek moet 2n cellen bevatten.

4. Dezelfde cellen met eenheden kunnen in verschillende contouren worden opgenomen.

5. Het aantal rechthoeken moet minimaal zijn en de oppervlakte van de rechthoeken moet maximaal zijn.

6. Voor elke rechthoek schrijven we alleen het product op van die variabelen waarvan de waarde niet verandert. Als deze variabele gelijk is aan nul, wordt deze in omgekeerde vorm geschreven.

7. We verbinden de resulterende producten met een logisch optelteken.

Bij gebruik van BCD-codes worden decimale cijfers weergegeven door vier binaire cijfers. Van alle mogelijke 16 codecombinaties worden er slechts 10 gebruikt, en de overige combinaties zijn verboden en kunnen nooit voorkomen. Als een functie sets variabelen heeft verboden, zijn de waarden ervan op de opgegeven sets niet gedefinieerd en worden ze gemarkeerd met een X in de waarheidstabel.

Binaire functies waarvan de waarden niet voor alle sets invoervariabelen zijn gedefinieerd, worden onvolledig gedefinieerd genoemd.

Bij het minimaliseren van een onvolledig gedefinieerde functie moet deze verder worden gedefinieerd, d.w.z. ongedefinieerde waarden cellen van de Karnaugh-kaart worden willekeurig vervangen door enen of nullen. Het is raadzaam om de optie te kiezen waarbij de formule voor de geminimaliseerde functie het eenvoudigst is.

1.6 Synthese van combinatorische logische circuits

Synthese is het proces waarbij een functioneel circuit wordt verkregen dat een bepaalde logische functie vervult.

Het proces van het ontwikkelen van logische circuits omvat de volgende reeks acties:

1) Van de waarheidstabel gaan we naar de Carnaugh-kaart

2) We voeren minimalisatie uit en verkrijgen een geminimaliseerde logische uitdrukking van de gegeven functie (zie 1.5.2)

3) Transformeer de resulterende logische uitdrukking naar de EN-NIET-basis met behulp van de inversiewet

Laten we eens kijken naar een voorbeeld. Construeer een logische structuur die wordt gespecificeerd door de waarheidstabel in figuur 1.15a.

Figuur 1. 15-Waarheidstabel (a) en Carnaugh-kaart (b)

1) Ga naar de Carnaugh-kaart en teken rechthoekige contouren rond de aangrenzende cellen met eenheden, zoals weergegeven in Figuur 1. 15 b.

2) Met behulp van de contouren die op de Karnaugh-kaart worden weergegeven, verkrijgen we de volgende logische uitdrukking

3) Transformeer de resulterende logische expressie naar de AND-NOT-basis

4) Een logische structuur opbouwen

Figuur 1.16 - Logische structuur die de functie implementeert die wordt gespecificeerd door de waarheidstabel in figuur 1.15a

2 COMBINATIEDIAGRAMMEN

2.1 Grondbeginselen

Bij het verbinden van logische elementen worden apparaten gevormd waarvan de circuits logisch worden genoemd. Er zijn combinatorische en sequentiële circuits.

Combinatiecircuits implementeren functies waarvan de waarden op een bepaald moment alleen worden bepaald door de reeks waarden van invoervariabelen op hetzelfde tijdstip en niet afhankelijk zijn van eerdere waarden van invoervariabelen.

Het is gebruikelijk om over dergelijke schema's te zeggen dat ze niet de eigenschap van geheugen hebben (de prehistorie heeft geen invloed op het resultaat van de transformatie). Merk op dat elk echt logisch element een bepaalde vertragingstijd heeft voor veranderingen in het uitgangssignaal ten opzichte van de ingang. De belangrijkste combinatiecircuits omvatten de volgende apparaten:

Decoders,

Encryptors,

Demultiplexers,

Multiplexers,

Adders.

2.2 Decoders

Een decoder (decoder) is een apparaat dat een n-bit positionele code omzet in een m-bit unitaire code, d.w.z. met slechts één één of nul.

De decoder heeft n ingangen en m (m ≤ 2n) uitgangen. Op de grafische symbolen worden decoders aangeduid als DC (van de Engelse decoder).

Figuur 2.1 toont een conventionele grafische aanduiding (UGO) en een tabel met de werking van een decoder met twee ingangen (2: 4).

Ingangen Uitgangen
x1 x0 0 1 2 3
0 0 1 0 0 0
0 1 0 1 0 0
1 0 0 0 1 0
1 1 0 0 0 1

Figuur 2.1 - Grafisch symbool en werkingstabel van een decoder met twee ingangen (2: 4).

Uit de werkingstabel van een twee-ingangsdecoder volgt dat het nummer van de actieve uitgang, waarop een eenheid aanwezig is, samenvalt met de binaire code aan de ingangen, indien weergegeven als decimaal getal. Bijvoorbeeld 012 = 110, 102 = 210, 112 = 310.

Laten we een decodeercircuit met twee ingangen bouwen, waarvoor we de functies van elke uitgang opschrijven met behulp van de waarheidstabel en de SDNF-opnameregel (zie 1.4): Uitgang 0 - , Uitgang 1 - , Uitgang 2 - , Uitgang 3 - . Op basis van de verkregen logische uitdrukkingen verkrijgen we het circuit dat wordt weergegeven in figuur 2.2.

Figuur 2.2-Schema van een decoder met twee ingangen (2: 4)

2.3 Encryptors

Een encoder is een apparaat met m ingangen en n uitgangen (m ≤ 2n) en zet een m-bit unitaire code om in een n-bit positionele code.

In de grafische symbolen worden encoders aangeduid als CD.

Het doel van encoders is om afzonderlijke ingangssignalen om te zetten in overeenkomstige codecombinaties aan de uitgangen, die worden bepaald door de juiste coderingsmethode van de ingangssignalen. Elke afzonderlijke ingang van de encoder komt overeen met slechts één van de mogelijke sets uitgangsvariabelen. De bijbehorende codecombinatie verschijnt aan de uitgangen van de encoder als en alleen dan wanneer aan de ingang een enkel signaal verschijnt dat bij een bepaalde uitgangscombinatie hoort.

De encoderingangen zijn zo genummerd dat het verschijnen van een enkel signaal aan de i-de ingang leidt tot het verschijnen van een uitgangsset, het getal i dat is geschreven in binair systeem rekening. Figuur 2.3 toont het functionele diagram en de waarheidstabel van een encoder met acht ingangen.

Ingangen Uitgangen
X0 X1 X2 X3 X4 X5 X6 X7 U2 U1 У0
0 0 0 0 0 0 0 1 1 1 1
0 0 0 0 0 0 1 0 1 1 0
0 0 0 0 0 1 0 0 1 0 1
0 0 0 0 1 0 0 0 1 0 0
0 0 0 1 0 0 0 0 0 1 1
0 0 1 0 0 0 0 0 0 1 0
0 1 0 0 0 0 0 0 0 0 1
1 0 0 0 0 0 0 0 0 0 0

Figuur 2.3 - Functioneel diagram en waarheidstabel van een encoder met acht ingangen.

2.4 Demultiplexers

Een demultiplexer is een apparaat waarbij signalen van één informatie-ingang in de gewenste volgorde over meerdere uitgangen worden verdeeld.

In de grafische symbolen worden demultiplexers aangeduid als DMX. Figuur 2.3 toont een conventioneel grafisch symbool en een tabel met de werking van de demultiplexer.

Adres Uitgangen
A1 A0 0 1 2 3
0 0 X 0 0 0
0 1 0 X 0 0
1 0 0 0 X 0
1 1 0 0 0 X

Figuur 2.4-UGO en bedieningstabel van de 1:4 demultiplexer

Hier is ingang x een informatie-ingang, ingangen AO A1 zijn adresseerbaar, de code waarop bepaalt welke van de uitgangen signalen zal genereren die x herhalen. Het principe van het bepalen van het uitgangsnummer door adrescombinatie is hetzelfde als dat van de decoder. Met t adresseerbare ingangen kan de demultiplexer tot 2 m uitgangen hebben, afhankelijk van het ontwerp.

Als de 1:4-demultiplexer de potentiaal U1 (logische) aan de informatie-ingang x handhaaft, dan werkt hij als een 2:4-decoder, waarvan de ingangen A0 en A1 zijn. Er is dus geen fundamenteel verschil tussen een decoder en een demultiplexer, en het verschil komt neer op het type signalen aan ingang x: als ze in de loop van de tijd veranderen, is het een demultiplexer, zo niet, dan is het een decoder. Decoders hebben deze ingang vaak niet en de uitgangssignalen op de actieve uitgang hebben er één, vooruit bekende waarde. Dit wordt bevestigd door het demultiplexercircuit, weergegeven in figuur 2.5.

Figuur 2.5 - 1:4 demultiplexercircuit

Als x = 1, dan zijn alle &-poorten open en herhalen de uitgangssignalen exact de signalen van de decoder in de demultiplexer. Voor een willekeurige waarde van het signaal x zal deze verschijnen aan de uitgang van de EN-poort die wordt geopend door het “1”-signaal van de uitgang van de decoder gespecificeerd door de code aan de ingangen AO en A1.

2.5 Multiplexers

Een multiplexer is een apparaat waarbij signalen van één van de informatie-ingangen in de gewenste volgorde aan één uitgang worden toegevoerd.

In de grafische symbolen worden multiplexers aangeduid als MUX. Figuur 2.6 toont een symbool en een tabel van de werking van een 4:1 multiplexer.

Adres Uitgang
A1 A0 F
0 0 Ingang 0
0 1 Ingang 1
1 0 Ingang 2
1 1 Entree 3

Figuur 2.6 - Grafisch symbool en werkingstabel van een 4:1 multiplexer

Hier zijn ingangen 0,1,2,3 informatie-ingangen, A0 en A1 zijn adresingangen, waarvan de code bepaalt welke ingangssignalen zullen worden overgenomen voor verzending naar uitgang F. Het principe van het bepalen van het ingangsnummer door adrescombinatie is hetzelfde als die van de decoder en een demultiplexer. Met t adresseerbare ingangen kan de multiplexer tot 2 m ingangen hebben, afhankelijk van het ontwerp. Het circuit van een multiplexer met vier ingangen (4:1) wordt weergegeven in figuur 2.7.

Figuur 2.7 - 4:1 multiplexercircuit

Uit het diagram volgt dat een van de ingangssignalen door de EN-poort gaat, die wordt geopend door het "1"-signaal van de uitgang van de decoder, gespecificeerd door de code op ingangen A0 en A1. Aan de uitgangen van de overige EN-elementen zijn er op dit moment "0"-signalen, die de doorgang van informatie van de geselecteerde ingang via het OF-element naar de uitgang niet verstoren.

Een multiplexer met t-adresingangen kan worden gebruikt om een ​​willekeurige logische functie uit t-argumenten te implementeren.

Implementatie vereiste functie wordt uitgevoerd op basis van de waarheidstabel. De waarden van de argumentsets worden gespecificeerd bij de adresinvoer. En de informatie-ingangen zijn zodanig verbonden met de signaalbronnen “0” en “1” dat de ingang, die is verbonden met de uitgang van elk van de ingangssets, een signaalwaarde bevat die overeenkomt met de waarheidstabel. Als voorbeeld toont Figuur 2.8 een multiplexer-aansluitschema voor het implementeren van de functie getoond in de waarheidstabel.

Figuur 2.8 - Een multiplexer gebruiken om een ​​bepaalde logische functie te implementeren

Decoders en demultiplexers, ontworpen als microschakelingen met een gemiddelde mate van integratie, worden veel gebruikt in de informatie- en meettechnologie. Net als multiplexers worden ze vaak gebruikt in combinatie met tellers en registers. Ze dienen als schakelborden-verdelers van informatiesignalen en klokpulsen, voor het demultiplexen van gegevens en het organiseren van adreslogica in operationele en permanente opslagapparaten, en voor het omzetten van binaire decimale code in decimaal met als doel indicator- en afdrukapparaten te besturen. Het aantal uitgangen en de verdeling van de signalen daarop worden bepaald door de aard van de verwachte belasting.

Decoders voor het werken met gasontladingsindicatielampen hebben hoogspanningstransistors aan de uitgang en een "één op tien" uitgangsschakeling. Microcircuits die werken met indicatoren met zeven segmenten (halfgeleider, gloeilamp, vacuüm) hebben zeven uitgangen en een juiste verdeling van signalen voor elke combinatie van ingangssignalen.

Demultiplexers-ontcijferers als onafhankelijke producten hebben er 4; 8 of 16 uitgangen. Als het vereiste aantal uitgangen de mogelijkheden van één chip overschrijdt, worden demultiplexers (decoders) aan het systeem toegevoegd. In dit opzicht is er geen fundamenteel verschil met multiplexers.

Neem bijvoorbeeld de K561KP1 IC, die twee multiplexers met vier ingangen bevat. De microschakeling heeft twee adresingangen 1 en 2, gemeenschappelijk voor beide multiplexers, een gemeenschappelijke poortingang S, informatie-ingangen X0 - X3 van de eerste multiplexer, ingangen Y0 - US van de tweede multiplexer. In figuur 2.9 worden twee versies van de KP1-afbeelding weergegeven.

.

Figuur 2.9 - Functioneel diagram en symbolische grafische aanduiding van de K561KP1-microschakeling

Wanneer een binaire adrescode wordt aangeboden aan adresingangen 1 en 2 en een “0”-signaal aan ingang S, worden de uitgangen van de multiplexers verbonden met ingangen waarvan de nummers overeenkomen met het decimale equivalent van de adrescode. Als er een “1”-signaal is op de S-ingang, worden de uitgangen van de multiplexers losgekoppeld van de ingangen en gaan ze naar een hoogohmige (derde) toestand. Aansluiting van ingangen Het signaal dat via de multiplexer wordt verzonden, kan zowel analoog als digitaal zijn; het kan zowel van ingangen naar uitgang worden verzonden (de microschakeling werkt in multiplexermodus) als van de uitgang die naar ingangen wordt gedistribueerd (demultiplexermodus).

De K155IDZ demultiplexer-decoderchip (Figuur 2.10) heeft vier adresingangen 1, 2, 4, 8, twee inverse poortingangen S, gecombineerd door EN, en 16 uitgangen 0-15. Als beide poortingangen een log hebben. 0, bij de uitgang waarvan het nummer overeenkomt met het decimale equivalent van de invoercode (ingang 1 is het minst significante cijfer, ingang 8 is het meest significant), zal er een log zijn. 0, bij andere uitgangen - log. 1. Als ten minste één van de poortingangen S logt. 1, dan wordt, ongeacht de status van de ingangen, een log gevormd op alle uitgangen van de microschakeling. 1.

Figuur 2.10-Symbolische grafische aanduiding van de K155IDZ demultiplexer-decoder

De aanwezigheid van twee poortingangen breidt de mogelijkheden voor het gebruik van microschakelingen aanzienlijk uit. Uit twee IDZ-microschakelingen, aangevuld met één omvormer, kun je een decoder met 32 ​​uitgangen samenstellen (Figuur 2.11).

Figuur 2.11 - Decoder voor 32 uitgangen gebaseerd op de K155IDZ-chip

2.6 Rekenkundige apparaten

2.6.1 Algemene informatie

De tot nu toe besproken combinatorische apparaten voeren logische functies uit. Om hun gedrag te beschrijven, wordt het apparaat van de logische algebra gebruikt. Ingangs- en uitgangssignalen met hoog en laag niveau worden respectievelijk als logisch 1 en logisch 0 geëvalueerd.

Discrete technologie werkt ook met een andere klasse apparaten, waarvan het doel is om rekenkundige bewerkingen uit te voeren met binaire getallen: optellen, aftrekken, vermenigvuldigen, delen. Rekenkundige apparaten omvatten ook knooppunten die speciale rekenkundige bewerkingen uitvoeren, zoals het identificeren van de pariteit van bepaalde getallen (pariteit bepalen) en het vergelijken van twee getallen.

De eigenaardigheid van rekenapparaten is dat aan signalen niet logische, maar rekenkundige waarden 1 en 0 worden toegewezen, en dat acties daarop onderworpen zijn aan de wetten van de binaire rekenkunde. Hoewel rekenapparaten met numerieke waarden werken, is het ook handig om waarheidstabellen te gebruiken om hun werking te beschrijven. Rekenapparaten worden op grote schaal gebruikt in digitale computers en vrij vaak in apparatuur voor het meten van informatie.

De belangrijkste rekenkundige bewerking is optellen (sommatie). Naast het directe doel wordt het ook bij andere bewerkingen gebruikt: aftrekken is een optelling waarbij de aftrekking omgekeerd of omgekeerd wordt ingevoerd. extra code, en vermenigvuldigen en delen zijn opeenvolgend optellen en aftrekken.

Een opteller is een functionele eenheid die de rekenkundige optelling van getallen uitvoert.

Bij apparaten met discrete technologie wordt de optelling uitgevoerd in binaire of, minder gebruikelijk, binaire decimale code. Op basis van de aard van hun actie worden optellers onderverdeeld in twee categorieën: - combinatorisch - zoals alle eerder beschouwde knooppunten die geen geheugenelementen hebben; - cumulatief - het opslaan van de resultaten van berekeningen.

Op zijn beurt kan elk van de optellers die werken met meerbits optellingen, afhankelijk van de methode voor het verwerken van getallen, worden geclassificeerd als een serieel of parallel type.

Zowel seriële als parallelle optellers zijn gebouwd op basis van enkelbits optelcircuits. Het optellen van getallen in opeenvolgende optellers wordt bitsgewijs uitgevoerd, opeenvolgend in de tijd. Bij parallelle optellers vindt de optelling van alle cijfers van meercijferige getallen gelijktijdig plaats.

In wat volgt zullen we het alleen hebben over combinatorische optellers.

2.6.2 Halve opteller

Het eenvoudigste optelelement is een half-opteller. De oorsprong van deze term zal in de loop van de presentatie duidelijk worden. Een van de eenvoudigste optelapparaten is een half-adder, waarvan de UGO- en waarheidstabel worden weergegeven in figuur 2.12.

Ingangen Uitgangen
A IN R S

Figuur 2.12-UGO en waarheidstabel van de half-adder

De half-adder wordt aangeduid met de letters HS (halve som). De half-opteller heeft twee ingangen A en B voor twee termen en twee uitgangen: S (som) en P (carry).

De logische structuur van de half-adder is opgebouwd op basis van een waarheidstabel, waaruit volgt dat de werking van de half-adder wordt beschreven door de volgende vergelijkingen:

De uitdrukking voor de uitvoer S, evenals de kolom S van de waarheidstabel, valt volledig samen met de waarheidstabel voor de exclusieve OF-poort. Deze omstandigheid verklaart waarom de ‘exclusieve OR’-bewerking optelling modulo 2 wordt genoemd. De logische structuur van een half-opteller in algemene en uitgebreide vorm wordt weergegeven in figuur 2.13.

Figuur 2.13 - Logische structuur van een half-adder in algemene en uitgebreide vorm

2.6.3 Volledige opteller

De procedure voor het optellen van twee n-bit binaire getallen kan als volgt worden weergegeven (Figuur 2.14).

Figuur 2.14 - Optelling van twee n-bit getallen

Het optellen van de minst significante cijfers A1 en B1 levert het sombit S1 en het carrybit P1 op. In het volgende (tweede) cijfer worden de getallen P1, A2 en B2 opgeteld, wat de som S2 en de carry P2 vormt. De bewerking duurt totdat elk paar cijfers van alle cijfers is opgeteld. Het resultaat van de optelling is het getal S = Pn Sn ... S1, waarbij Pi en Si 1 of 0 vertegenwoordigen, verkregen als resultaat van bitsgewijze optelling. De half-adder heeft twee ingangen en is daarom alleen geschikt voor gebruik in het minst significante cijfer.

Een apparaat voor het optellen van twee meercijferige getallen moet, beginnend bij het tweede cijfer, drie ingangen hebben: twee voor de termen Ai en Bi en één voor het overdrachtssignaal Pi-1 van het vorige cijfer. Dit knooppunt wordt een volledige opteller genoemd, waarvan de UGO en de waarheidstabel worden weergegeven in figuur 2.15.

Ingangen Uitgangen
Pi-1 A IN Pi S
0 0 0 0 0
0 0 1 0 1
0 1 0 0 1
0 1 1 1 0
1 0 0 0 1
1 0 1 1 0
1 1 0 1 0
1 1 1 1 1

Figuur 2.15-UGO en waarheidstabel van de volledige opteller

Met behulp van de waarheidstabel kunnen we de volgende uitdrukkingen verkrijgen voor de uitvoerfuncties , . Met deze expressies kunt u de logische structuur van de volledige opteller opbouwen, zoals weergegeven in figuur 2.16

Figuur 2.16 - Logische structuur van een volledige opteller

2.6.4 Multi-bit opteller

Om een ​​multi-bit opteller te bouwen, worden een halve opteller en een volledige enkel-bit opteller gebruikt, zoals hierboven besproken. De verbindingen getoond in Figuur 2.17 zijn gemaakt in overeenstemming met het algoritme gepresenteerd in Figuur 2.14.

Afbeelding 2.17 – Multi-bits (drie-bits) opteller

3 TRIGGER-APPARATEN

3.1 Basisconcepten

Naast combinatieapparaten zijn er elementen met geheugen. De eenvoudigste daarvan zijn triggers.

Een trigger is een logisch element dat zich in een van twee stabiele toestanden kan bevinden: 0 of 1.

De overgang naar elke volgende toestand hangt meestal niet alleen af ​​van de huidige waarden van de ingangssignalen, maar ook van de vorige toestand van de flip-flop. Informatie over de vorige status afkomstig van de triggeruitgangen, samen met externe signalen, regelt de werking ervan. Daarom zijn flip-flops apparaten met logische feedbackverbindingen.

Een logische functie die de afhankelijkheid vaststelt van de toestand waarin de trigger overgaat van de huidige toestand bij blootstelling aan bepaalde stuursignalen, wordt de flip-flop-overgangsfunctie genoemd. Overgangsfuncties worden gespecificeerd door logische formules of in de vorm van tabellen.

Afhankelijk van de werkingslogica zijn triggers onderverdeeld in de volgende hoofdtypen RS, D, T en JK.

Afhankelijk van de methode voor het vastleggen van informatie, zijn triggers onderverdeeld in asynchroon en synchroon. Asynchrone triggers gaan onmiddellijk over naar een nieuwe toestand nadat stuursignalen zijn geleverd, terwijl synchrone triggers ook de toevoer van een synchronisatiesignaal naar de synchronisatie-ingang C vereisen.

3.2 Asynchrone RS-flipflop

Een asynchrone RS-flip-flop dient als het belangrijkste geheugenelement in triggers van welk type dan ook. Het kan worden gebouwd op zowel AND-NOT- als OR-NOT-elementen. Beide methoden en hun grafische symbolen worden weergegeven in figuur 3.1.

Figuur 3.1 - Implementaties van een asynchrone RS-flipflop gebaseerd op AND-NOT- en NOR-NOT-elementen en hun grafische symbolen

De RS-trigger heeft twee ingangen: een installatie-ingang S (uit het Engelse Set: installatie) en een reset-ingang R (uit het Engelse Reset: reset).

De uitgangssignalen Q en , bepalen de toestand van de flip-flop.

Als Q = 0, bevindt de trigger zich in de nulstatus, als Q = 1, dan in de eenheidsstatus.

Figuur 3.2 bevat overgangstabellen die de werkingsvolgorde van de RS-flip-flop op respectievelijk de AND-NOT- en NOR-NOT-elementen weerspiegelen.

Qn Qn+1 Bedrijfsmodus
0 0 0 X Verboden
0 0 1 X Verboden
0 1 0 1 Installatie
0 1 1 1 Installatie
1 0 0 0 Opnieuw instellen
1 0 1 0 Opnieuw instellen
1 1 0 0 Opslag
1 1 1 1 Opslag
S R Q Qn+1 Bedrijfsmodus
0 0 0 0 Opslag
0 0 1 1 Opslag
0 1 0 0 Opnieuw instellen
0 1 1 0 Opnieuw instellen
1 0 0 1 Installatie
1 0 1 1 Installatie
1 1 0 X Verboden
1 1 1 X Verboden

Figuur 3.2-Tabellen met overgangen van een RS-flipflop gebaseerd op AND-NOT (links) en NOR-NOT-elementen

In de tabellen worden de volgende notaties gebruikt: Qn – initiële status, Qn+1 – nieuwe status van de trigger, x – ongedefinieerde status.

Een trigger op NOR-elementen wordt bestuurd door enkele signalen die aankomen op een van de ingangen. Wanneer een enkel signaal wordt toegepast op de R-ingang, wordt de trigger ingesteld op de nulstatus (Qn+1 = 0 - "reset" -modus), en wanneer hetzelfde signaal wordt ontvangen op de S-ingang, wordt deze ingesteld op de enkele toestand (Qn+1 = 1).

Het is verboden om afzonderlijke signalen tegelijkertijd naar beide ingangen te sturen de toestand Qn+1, waarin de flipflop terechtkomt, is niet gedefinieerd - de uitgangen Q en worden op nul gezet Booleaanse waarden signalen. R S = 1 is een verboden combinatie.

Wanneer signalen op logisch niveau nul worden ontvangen op beide ingangen van de trigger, blijft de status ervan onveranderd (Qn+1= Qn).

De trigger op de NAND-elementen wordt bestuurd door nulsignalen, wat wordt weerspiegeld in het symbool in de vorm van inverterende ingangen. Een verboden toestand is een toestand waarin nul logische signalen worden toegepast op beide ingangen.

3.3 Synchrone triggers

3.3.1 RS-trigger

De belangrijkste rol in digitale apparaten wordt gespeeld door triggers met synchronisatie- (klok) en informatie- (programmeer-)ingangen. Een conventionele grafische weergave en een functioneel diagram van een synchrone RS-flip-flop worden weergegeven in figuur 3.3

Figuur 3.3 - UGO en functioneel diagram van een synchrone RS-trigger

Het wijzigen van de status van de trigger is alleen mogelijk als er één enkel signaal is op de synchronisatie-ingang C. Wanneer het signaal C nul is, wordt de informatie op de stuuringangen R en S niet waargenomen en behoudt de trigger zijn vorige status gedurende elke periode. waarden van de signalen op de stuuringangen R en S. De verboden combinatie is R S C = 1.

Naast synchrone RS-flipflops worden er nog drie soorten flip-flops gebruikt: D-, T- en JK-types.

3.3.2 D-trigger

Het grafische symbool en het functionele diagram van de D-flip-flop worden weergegeven in figuur 3.4

Figuur 3.4-Symbool en functioneel diagram van de D-flip-flop

Werkingslogica van de D-trigger: na het einde van de volgende synchronisatiepuls accepteert de trigger de status van het signaal op zijn informatie-ingang D. Daarom wordt de D-trigger een vertragingstrigger genoemd (uit het Engels Delay - delay) .

3.3.3 T-trigger

De T-flipflop heeft alleen een klokingang en geen informatie-ingangen. Het grafische symbool voor een T-trigger wordt weergegeven in Figuur 3.5.

Figuur 3.5 - Grafisch symbool van de T-trigger

De logica van de T-flip-flop: wanneer elke klokpuls wordt toegepast, verandert deze van toestand naar het tegenovergestelde.

Het is het belangrijkste element van frequentiedelers, hoewel het niet afzonderlijk wordt geproduceerd. Deze flip-flop kan echter eenvoudig worden geïmplementeerd met behulp van een D-flip-flop, zoals weergegeven in figuur 3.6.

Figuur 3.6 - Implementatie van een T-trigger op basis van een D-trigger

3.3.4 JK-flipflop

Het grafische symbool voor een JK-trigger wordt weergegeven in Figuur 3.7.

Figuur 3.7 - Grafisch symbool van de JK-trigger

De werking van een JK-flip-flop wordt geïllustreerd door de overgangstabel van een RS-flip-flop met directe ingangen, weergegeven in figuur 3.2. Bovendien komt ingang S overeen met ingang J, en komt ingang R overeen met ingang K.

Uit de tabel volgt dat de JK-trigger zijn status niet verandert bij blootstelling aan een klokpuls als J = K = 0. In tegenstelling tot de RS-trigger zijn de J = K = 1-signalen niet verboden en veroorzaken ze een verandering in de triggerstatus naar het tegenovergestelde, d.w.z. de trigger werkt als een T-trigger.

Als J = 1 en K = 0, dan zet de klokpuls de trigger in de enkele toestand (Qn+1= 1), en als J = 0 en K = 1, zet hij de trigger in de nultoestand (Qn+1). = 0). De trigger verandert zijn status niet als het kloksignaal C = 0.

Een T-flipflop kan eenvoudig worden geïmplementeerd vanaf een JK-flipflop door de stuuringangen J en K te combineren, zoals weergegeven in figuur 3.8. De JK-flipflop is veelzijdig omdat hij eenvoudig kan worden omgebouwd tot RS- en T-flipflops.

Figuur 3.8-Schema voor het inschakelen van een JK-trigger in T-trigger-modus

3.3.5 Tweetraps synchrone triggers

3.3.5.1 Push-pull R-S-trigger M-S-type

Een kenmerk van de eerder besproken triggers is dat als er tijdens de werking van een klokpuls zelfs maar een korte signaalverandering optreedt aan de informatie-ingangen van een synchrone trigger, wat leidt tot een verandering in de toestand van de trigger, dit onmiddellijk zal gebeuren. zijn output beïnvloeden. Tweetraps synchrone triggers, die MS-triggers worden genoemd (van het Engelse Master - Slave: Master - Slave), werken enigszins anders. Deze flip-flops bestaan ​​uit twee geheugenelementen die met elkaar zijn verbonden, zoals bijvoorbeeld weergegeven in figuur 3.9. Deze trigger heeft twee synchronisatie-ingangen C1 en C2. Het opnemen wordt uitgevoerd door het achtereenvolgens indienen van twee synchronisatiesignalen, eerst naar ingang C1 en vervolgens naar C2. Daarom wordt een dergelijke trigger push-pull genoemd.

Figuur 3.9 -Tweetakt R-S M-S-type trekker

Het besturen van een push-pull-trigger vereist echter een complexer regelcircuit. Daarom worden tweetraps flip-flops met één cyclus gebruikt, die zijn gebouwd met behulp van verschillende circuittechnieken voor het vertragen van het schakelen van de tweede flip-flop.

3.3.5.2 Tweetrapstriggers met één uiteinde

De tweetrapsstructuur van de trigger wordt op het symbool weergegeven in de vorm van twee letters T, zoals weergegeven in figuur 3.10.

Figuur 3.10 - Symbool van tweetrapstriggers

Er wordt ook gezegd dat tweetrapstriggers impulsgestuurd zijn. Voor een volledige werkingscyclus van een tweetrapstrekker zijn immers twee druppels van het synchronisatiesignaal nodig.

Figuur 3.11 toont een RS-flip-flop met blokkeerverbindingen, en figuur 3.12 met een omvormer.

Figuur 3.11 - RS-flipflop met één uiteinde van het M-S-type met remmende verbindingen

Afbeelding 3.12 - R-S-trigger met één uiteinde M-S-type met omvormer

registertrigger voor logische circuits

De voorflank van de klokpuls schrijft informatie die wordt bepaald door het signaalniveau aan de informatie-ingangen van de trigger naar het eerste geheugenelement, het besturingselement (M) genoemd. De afname van de klokpuls zorgt ervoor dat informatie van het bedieningselement naar het bestuurde element (S) wordt herschreven. Na het einde van de klokpuls worden veranderingen in de informatie aan de R- en S-ingangen van de stuurtrigger niet waargenomen. Het opnameproces wordt geïllustreerd in figuur 3.13.

Figuur 3.13 - Timingdiagram van het schrijfproces naar een R-S-flipflop met één cyclus van het M-S-type

De stippellijnen in de figuren 3.11 en 3.12 tonen de feedback die een RS-flip-flop in een T-flip-flop verandert, waarvan de timingdiagrammen worden getoond in figuur 3.14.

Figuur 3.14 - Timingdiagrammen van T-trigger-bediening

Tweetraps synchrone flip-flops zijn verkrijgbaar als afzonderlijke IC's. Figuur 3.15 toont de grafische symbolen van IC-typen 155TM2 en 155TV1.

155TM2 155TV1

Figuur 3.15 - Grafische symbolen van IC-typen 155TM2 en 155TV1

IC 155TM2 bevat twee synchrone D-flip-flops die worden bestuurd door de voorflank van de klokpuls. Triggers hebben interne stuuringangen R en S die onafhankelijk van de kloksignalen werken.

De 155TB1 synchrone JK-trigger, weergegeven in figuur 3.15, heeft ook onafhankelijke controle over de ingangen S en R. De trigger wordt geklokt door het pulsverval en heeft elk drie informatie-ingangen J en K. De ingangen met dezelfde naam zijn erin gecombineerd. volgens het EN-circuit.

In series van IC's die door de industrie worden geproduceerd, worden D-flip-flops doorgaans geschakeld door de flank van een puls, en worden JK-flip-flops geschakeld door een puls.

Merk op dat tweetraps synchrone flip-flops reageren op veranderingen in informatiesignalen tijdens de werking van klokpulsen. Als vóór de aankomst van de klokpuls de informatie-ingangen een toestand hadden waarin de trigger zijn status niet zou moeten veranderen, en tijdens de werking van de klokpuls de informatie-ingangen zelfs voor een korte tijd signalen ontvangen die leiden tot een verandering in de klokpuls staat van de trigger, dan zal deze verandering noodzakelijkerwijs plaatsvinden. Daarom mogen de beschouwde triggers alleen worden gebruikt wanneer de mogelijkheid van het veranderen van informatiesignalen tijdens de actie van een synchronisatiepuls is uitgesloten.

Tweetraps synchrone triggers, geschakeld door de flank of val van een puls, werken enigszins anders. Dergelijke triggers reageren alleen op signalen die aanwezig zijn aan de informatie-ingangen op het moment van de actieve flank of het wegvallen van de synchronisatiepuls. Op andere momenten worden de informatie-invoer van de trigger geblokkeerd en worden signalen daarop niet waargenomen. Daarom hebben flip-flops die worden geschakeld door de flank of val van een puls een hogere ruisimmuniteit vergeleken met flip-flops die worden geschakeld door een puls.

4 REGISTERS

4.1 Algemene informatie over registers

Registers zijn apparaten die zijn ontworpen voor het registreren, opslaan, uitgeven en converteren van informatie die wordt gepresenteerd in de vorm van binaire codes.

Toepassingen: geheugenapparaten, vertragingselementen, serieel naar parallelle codeconverters en omgekeerd, ringsignaalverdelers, enz. Afhankelijk van de functionele eigenschappen en circuitimplementatie zijn ze onderverdeeld in:

Geheugenregisters;

Schuifregisters;

Universele registers.

4.2 Geheugenregisters

Het doel van geheugenregisters is om binaire code gedurende een bepaalde periode op te slaan. Ze bestaan ​​uit een set flip-flops, die elk één bit code opslaan. Om n-bit binaire code op te slaan, moet het register daarom n flip-flops hebben. De structuur en werking van zo’n trigger wordt geïllustreerd door het diagram in figuur 4.1.

Figuur 4.1 - Geheugenregisterstructuur

De binaire code wordt parallel aan de ingangen X0, X1, X2 geleverd, waarna een klokpuls naar ingang C wordt gestuurd, die naar de bijbehorende trigger wordt geschreven.

4.3 Schuifregisters

Een schuifregister is een groep flip-flops die zo zijn verbonden dat informatie van elke flip-flop kan worden doorgegeven aan de volgende flip-flop, waardoor de in het register geschreven code wordt verschoven. Afhankelijk van de verschuivingsrichting worden registers onderscheiden:

Met een verschuiving naar rechts (naar de lagere cijfers),

Met een verschuiving naar links (in de richting van de meest significante cijfers),

Omkeerbaar (zowel rechts als links verschuivend).

Het grafische symbool voor een rechterschuifregister wordt weergegeven in figuur 4.2. Hier geeft de pijl de richting van de verschuiving aan.

Figuur 4.2 - Grafisch symbool voor een schuifregister

Figuur 4.3 toont een schuifregister bestaande uit D-flipflops die in serie zijn geschakeld, en figuur 4.4 toont een functioneel diagram van een schuifregister op basis van RS-flipflops. Een belangrijk kenmerk van schuifregisters is hun uitvoering op triggers van een uitsluitend tweetraps MS-structuur.

Figuur 4.3 - Functioneel diagram van een schuifregister op basis van D-flip-flops

Figuur 4.4 - Functioneel diagram van een schuifregister op basis van RS-flipflops

Aan de voorflank van de synchronisatiepuls C wordt informatie van de ingang geschreven naar het M-deel van de eerste trigger, en van de uitgang van de eerste - naar het M-deel van de tweede, van de tweede - naar de derde , enzovoort. Naarmate de synchronisatiepuls C afneemt, wordt de informatie herschreven van het M-deel naar het S-deel. De informatie wordt dus na elke klokpuls één bit verschoven.

Zo'n register verschuift codes in één richting. Informatie die tijdens een willekeurige klokcyclus aan de ingang wordt ontvangen, zal na n klokcycli aan de uitgang Qn van het schuifregister verschijnen.

In het betreffende register wordt informatie aan de ingang geregistreerd met behulp van een sequentiële code (bit voor cijfer).

4.4 Omkeerregisters

Er zijn registers die gegevens in beide richtingen kunnen verschuiven. Dergelijke registers worden omkeerbaar genoemd. Het principe van het construeren van omkeerbare registers wordt weergegeven in het diagram in figuur 4.5.

Figuur 4.5 - Functioneel diagram van een omkeerregister op basis van D-flip-flops

De richting van de verschuiving wordt bepaald door het signaal dat aan ingang V wordt geleverd. Als V = 1, dan zijn de onderste poorten en elementen van het 2I-OR-circuit open, waarvan de stuuringangen een “1”-signaal ontvangen, en een verschuiving naar rechts gebeurt. Als V=0, dan zijn de bovenste poorten en elementen van het 2I-OR-circuit open, omdat het stuursignaal wordt via de omvormer aan hen geleverd; er is een verschuiving naar links.

4.5 Registers voor algemene doeleinden

Vaak zijn complexere registers vereist: met parallelle synchrone opname van informatie, omkeerbaar, met parallel-seriële synchrone opname. Dergelijke registers worden universeel genoemd.

Een voorbeeld van een universeel register is IC-type K155IR1, waarvan het symbolische grafische symbool wordt weergegeven in figuur 4.6.

Figuur 4.6 - Grafische aanduiding van het universele register type K155IR1

Dit is een vier-bits schuifregister met de mogelijkheid om informatie sequentieel en parallel te schrijven. Het functionele diagram wordt weergegeven in figuur 4.7.

Het register is gemaakt op vier RS-flipflops en heeft twee timingingangen CI, C2 en één ingang V2, die de bedrijfsmodus van het register bestuurt. Informatie-invoer V1 wordt gebruikt om gegevens in te voeren Serienummer en ingangen D1-D4 zijn voor het invoeren van gegevens in parallelle code.

Het register kan in vier werken verschillende modi, waarbij het volgende wordt uitgevoerd: codeverschuiving naar rechts, codeverschuiving naar links, parallelle gegevensinvoer, informatieopslag. De selectie van de een of de ander wordt uitgevoerd door het overeenkomstige niveau van het logische signaal toe te passen op de stuuringang V2. Wanneer V2 = O worden de codes verschoven naar de meest significante bits. Als V2 = 1 vindt parallelle registratie van informatie plaats op de ingangen D1-D4.

Figuur 4.7-Functioneel diagram van een universeel register type K155IR1

Wanneer het register werkt in de modus van het converteren van een seriële code naar parallel met een verschuiving naar de meest significante bits (V2 = 0), worden de parallelle opname-ingangen D1-D4 uitgeschakeld, waardoor gegevens in het register worden ingevoerd bij de V1-ingang in een seriële code en de doorgang van timingsignalen via de C1-ingang zijn toegestaan, evenals verbindingen tot stand gebracht tussen de uitgang van elke bit van lage orde en de ingang van de volgende hoogste bit. Bij elke daling van de klokpuls aan ingang C1 vindt een verschuiving van één bit naar rechts plaats. Informatie in de vorm van een vier-bits parallelle code zal na vier cycli van de ingangspuls aan de uitgangen Q1, Q2, Q3, Q4 verschijnen.

Parallelle gegevensinvoer vindt plaats via ingangen D1-D4 in aanwezigheid van een stuursignaal V2=1 met de aankomst van de pulsverval op ingang C2. In dit geval worden de seriële ingangsingang V1 en de timingsignaalingang C1 uitgeschakeld.

Bij het organiseren van codeverschuivingen naar bits van lagere orde is het noodzakelijk om externe verbindingen te maken, zoals weergegeven in figuur 4.8.

Figuur 4.8-Schema van externe verbindingen voor het overschakelen naar bits van lage orde

Op ingang D4 wordt sequentieel naar het register geschreven met stuursignaal V2=1. Bij elke daling van de klokpuls C2 worden de codes naar links verschoven. Parallelle opname bij het naar links verschuiven van codes is onmogelijk, aangezien parallelle opnamekanalen worden gebruikt om gegevens over te dragen van bits van lage naar hoge orde. Merk op dat er in het geval van verbindingen getoond in Figuur 4.8 geen mogelijkheid bestaat tot alleen parallelle gegevensinvoer. Het verschuiven van codes naar hogere cijfers is mogelijk en wordt, zoals voorheen, uitgevoerd door timingsignalen toe te passen op ingang C1 op V2=0. Daarom is het schuifregister uit figuur 4.8 omkeerbaar.

5 TELLERS

5.1 Algemene informatie over meters

Tellers zijn apparaten die het aantal pulsen tellen.

Tellers worden niet alleen gebruikt voor het tellen, maar ook voor het uitvoeren van andere handelingen die kunnen worden herleid tot het tellen van pulsen, namelijk: het aantal pulsen omzetten in een specifieke code, de frequentie delen, het aantal signalen optellen of aftrekken, signalen distribueren, etc. .

De belangrijkste parameter van de teller is de telcoëfficiënt (modulus) Ксч.

De telcoëfficiënt is gelijk aan het aantal verschillende toestanden van de teller. Dit is precies hoeveel pulsen er nodig zijn voordat de teller terugkeert naar de oorspronkelijke staat. Bij gebruik van een teller als frequentiedeler is de herhalingssnelheid van de uitgangspulsen een factor 10 kleiner dan de ingangsfrequentie. Maximaal nummer, die een teller één minder dan Ksch kan weergeven. Het belangrijkste element van de tellers is de T-trigger. In de praktijk zijn T-flip-flops afgeleid van D- of JK-flip-flops.

Afhankelijk van de telrichting wordt er onderscheid gemaakt tussen optel-, aftrek- en omkeertellers.

Bij een sommerende teller verhoogt elk telsignaal het in de teller geregistreerde getal met één (voorwaarts tellen); bij een aftrekkende teller verlaagt elk telsignaal de inhoud van de teller met één (neerwaarts tellen). Omkeerbare teller - kan zowel voorwaarts als achterwaarts tellen.

Tabellen 5.1 en 5.2 tonen de volgorde van de veranderende codes in respectievelijk de optel- en aftrekkingstellers.

Tabel 5.1 - Statuscodes totaalteller

Signaal nummer Rang Tellernummer
Vraag 2 Q1 Q0
0 0 0 0 0
1 0 0 1 1
2 0 1 0 2
3 0 1 1 3
4 1 0 0 4
5 1 0 1 5
6 1 1 0 6
7 1 1 1 7
8 0 0 0 0

Tabel 5.2 - Statuscodes van subtractieve tellers

Signaal nummer Rang Tellernummer
Vraag 2 Q1 Q0
0 0 0 0 0
1 1 1 1 7
2 1 1 0 6
3 1 0 1 5
4 1 0 0 4
5 0 1 1 3
6 0 1 0 2
7 0 0 1 1
8 0 0 0 0

Als we selecteren als de beginstatus van de subtractieve teller decimaal getal 7 (binaire code 111), dan reduceert de reeks ingangspulsen de inhoud van de teller tot 000, waarna een overflow optreedt, d.w.z. een terugkeer naar de oorspronkelijke toestand 111.

Als we het getal 000 nemen als de begintoestand van de teller, dan geven de toestanden van de uitgangen van de tellertriggers het negatieve aantal getelde pulsen weer, weergegeven in twee-complement.

Afhankelijk van de methode voor het construeren van overdrachtscircuits worden tellers met sequentiële en parallelle overdracht onderscheiden.

5.2 Seriële carry-tellers

5.2.1 Seriële totalisator

Zoals blijkt uit Tabel 5.1 verandert het laagste cijfer Q0 van toestand bij elke telpuls; de toestand van elk volgend cijfer verandert als het vorige van één naar nul gaat. Als we T-flip-flops gebruiken die zijn aangesloten zoals weergegeven in figuur 5.1, krijgen we precies dezelfde reeks veranderingen in triggertoestanden.

Figuur 5.1 - Teller voor serieel optellen

Figuur 5.2 toont het timingdiagram van de werking van de optelteller

Figuur 5.2 - Timingdiagrammen van de werking van de optelteller

De cascade-activering van n dergelijke triggers vormt een teller met een telcoëfficiënt Ksch = 2n. Het is noodzakelijk om te onthouden dat elke trigger Cc = 2 heeft, en wanneer ze in serie zijn verbonden, worden de telcoëfficiënten vermenigvuldigd. Figuur 2 laat zien dat de pulsherhalingsperiode na elke trigger verdubbelt en na de laatste de periode van de ingangspulsen met een factor 10 overschrijdt. Dienovereenkomstig neemt de frequentie met hetzelfde aantal keren af, d.w.z. gedeeld door een getal gelijk aan Kch. Deze eigenschap vormt de basis voor het gebruik van tellers als frequentiedeler.

5.2.2 Seriële aftrekteller

Een andere optie voor het sequentieel schakelen van flip-flops is mogelijk, wanneer hun ingangen zijn verbonden met de inverse uitgangen van eerdere flip-flops, zoals weergegeven in figuur 5.3. Op deze manier wordt een binaire subtractieve teller verkregen, waarvan de toestandsverandering wordt weergegeven in Tabel 5.2.

Figuur 5.3 - Seriële subtractieve teller

Figuur 5.4 toont de timingdiagrammen van de subtractieve teller.

Figuur 5.4 - Timingdiagrammen van de subtractieve teller

Figuren 5.1 en 5.3 tonen circuits van binaire sequentiële tellers, dat wil zeggen tellers waarin, wanneer de toestand van een bepaalde trigger verandert, een volgende trigger wordt bekrachtigd, en de triggers hun toestand opeenvolgend veranderen.

Als in een bepaalde situatie n triggers hun status moeten veranderen, dan zal het voltooien van dit proces n tijdsintervallen duren die overeenkomen met het tijdstip van verandering in de status van elk van de triggers. Deze opeenvolgende aard van de werking veroorzaakt twee nadelen van de seriële teller:

Lagere telsnelheid vergeleken met parallelle tellers,

Mogelijkheid dat er valse signalen verschijnen aan de uitgang van het circuit.

De toegestane telsnelheid bij tellers van beide typen wordt bepaald door de maximale schakelsnelheid van één flip-flop.

Bij het bepalen van de maximale telsnelheid van een sequentiële teller moet rekening worden gehouden met het meest ongunstige geval van een verandering in de toestand van alle t flip-flops. De totale duur van het transitieproces kan worden bepaald als de som van de vertragingstijden individuele elementen, het verbinden van de triggers en de responstijden van alle triggers. Op deze manier gevonden maximale tijd De overgang van de teller van de ene toestand naar de andere moet als beperkend worden beschouwd. Gewoonlijk is de werkelijke overgangstijd korter dan de beperkende tijd, aangezien in een reeks opeenvolgend verbonden triggers deze trigger de overgang van de ene toestand naar de andere begint, zelfs vóór het einde van het overgangsproces in het element dat de trigger opwekt.

De sequentiële aard van de tegentriggerovergangen is een bron van valse signalen aan de uitgangen ervan. In een teller die bijvoorbeeld telt in een vier-bits binaire code met "schalen" 8421, zal bij het verplaatsen van het getal 710 = 01112 naar het getal 810 = 10002 de volgende reeks signalen aan de uitgang verschijnen: 0111 – 0110 – 0100 – 0000 – 1000. Dit betekent dat bij de overgang van toestand 7 naar toestand 8 gedurende korte tijd toestand 6 op de telleruitgangen verschijnt; 4; 0. Deze aanvullende omstandigheden kunnen ervoor zorgen dat andere apparaten niet goed functioneren.

5.3 Parallelle carry-tellers

In parallelle tellers worden synchronisatiesignalen tegelijkertijd naar alle flip-flops gestuurd, waardoor de tijd van transiënte processen wordt verkort. In dit geval krijgen we een parallelle teller. Een voorbeeld van een sommerende tellerschakeling wordt getoond in Figuur 5.5.

Figuur 5.5 - Parallelle optelteller op tv-flipflops

Hier worden telpulsen gelijktijdig geleverd aan de synchronisatie-ingangen T van alle flip-flops, en worden signalen die specifieke triggers definiëren die hun status veranderen met een gegeven ingangspuls verzonden naar de vrijgave-ingangen V. Als V=1 werkt de trigger zoals gewoonlijk, als V=0 dan bevindt deze zich in de opslagmodus. Het werkingsprincipe van de teller volgt uit Tabel 1: de trigger verandert van status wanneer de volgende synchronisatiepuls arriveert, als alle voorgaande triggers zich in de logische één-status bevonden.

Als T-trigger kunt u een universele JK-trigger gebruiken, bijvoorbeeld IC K155TV1. Een parallelle sommerende teller op basis van JK-flipflops wordt getoond in figuur 5.6.

Figuur 5.6 - Parallelle optelteller op JK-flipflops

Hier kan elke trigger zich in slechts twee modi bevinden: tellen (T-flip-flop-modus) en opslag. In het eerste geval J=K=1, in het tweede geval J=K=0. De werkingslogica komt volledig overeen met de beschrijving van de schakeling in figuur 5.5.

5.4 Tellers terugdraaien

Soms zijn tellers nodig die zowel voorwaarts als achterwaarts kunnen tellen, d.w.z. omkeerbaar. Het principe van hun constructie is gebaseerd op het gebruik van klepelementen, die het mogelijk maken om het schakelen van de bedrijfsmodus te organiseren. Een van de opties voor een omkeerbare parallelle teller op tv-flipflops wordt weergegeven in figuur 5.7.

Figuur 5.7 - Parallelle op-/afteller op tv-flipflops

Het omschakelen van de telrichting wordt bereikt door een logisch eenheidssignaal “1” aan te leggen op een van de stuuringangen. Als “1” wordt toegepast op de “+1”-ingang, dan is de sommatiemodus, als “-1” wordt toegepast op de ingang, dan de aftrekkingsmodus. In het eerste geval zullen de bovenste EN-poorten in het circuit open zijn, zodat de carry-signalen afkomstig zijn van de directe uitgangen van de flip-flops; in het tweede geval zullen de onderste poorten open zijn en zullen de carry-signalen passeren van de inverse uitgangen van de flip-flops.

5.5 Tellers met een willekeurige telfactor niet gelijk aan 2n

Voor sommige apparaten zijn meters nodig met een andere telfactor dan 2n of met een variabele telfactor. Eén van de mogelijke manieren om dit te veranderen is door de logische structuur van het circuit te veranderen, afhankelijk van de telfactorbesturingssignalen. De betekenis van de verandering is het veranderen van het aantal tegentoestanden, omdat Kch is gelijk aan precies dit getal.

Laten we aannemen dat het nodig is een parallelle teller te ontwikkelen die modulo 5 telt. Het minimumaantal flip-flops dat een telcoëfficiënt van 5 oplevert, is drie. Een teller met drie flip-flops kan zich in een van de acht toestanden bevinden (inclusief de nultoestand 000). Maar om Ksch =5 te krijgen, is het noodzakelijk om het aantal toestanden met 8-5=3 te verminderen. Drie tellerstanden moeten worden uitgeschakeld.

De volgende manieren om het aantal staten te verminderen zijn mogelijk:

Eerste code-installatie,

Gedwongen tijdens het tellen,

Geforceerde reset.

Onder initiële installatie code betekent de voorlopige invoer in de teller voordat het tellen begint van een getal dat gelijk is aan het aantal redundante toestanden (voor Ksch = 5 zijn er 3). Het aantal pulsen dat de teller zal tellen voordat hij terugkeert naar de begintoestand, zal dus afnemen met de waarde van het ingevoerde getal.

Geforceerde teller vereist het introduceren van een teller in het circuit aanvullende elementen, waardoor er op een gegeven moment voor wordt gezorgd dat een getal gelijk aan het aantal redundante toestanden in de teller wordt ingevoerd. Een voorbeeld van het construeren van een teller op basis van dit principe is een teller met Kch = 10, weergegeven in figuur 5.8.

Figuur 5.8 - Teller met geforceerd tellen met Aantal = 10

Tijdens de eerste acht pulsen veranderen de tellerstanden op de gebruikelijke manier, zoals weergegeven in Tabel 5.3.

Tabel 5.3 - Statuscodes voor een teller met geforceerd tellen met Aantal = 10

Signaal nummer Rang (gewicht) Tellernummer
Q3 (8) Q2 (4) Q1 (2) Q0(1)
0 0 0 0 0 0
1 0 0 0 1 1
2 0 0 1 0 2
3 0 0 1 1 3
4 0 1 0 0 4
5 0 1 0 1 5
6 0 1 1 0 6
7 0 1 1 1 7
8 1 0 0 0 8
9a 1 1 1 0 14
9b 1 1 1 1 15
10 0 0 0 0 0

Met de komst van de negende puls (regel 9a) verschijnen er drie aan de ingangen van het EN-logica-element, en "0" aan de uitgang ervan, waardoor flip-flops Q2 en Q1 worden ingesteld op de S-ingangen, met gewichten van 4 en 2, respectievelijk. Dit komt overeen met het invoeren van het getal 6 in de teller - dit is precies het aantal redundante toestanden bij Ksch = 10. Na het einde van de negende puls (regel 9b) gaat Q0 naar de eenheidsstatus en als gevolg daarvan bevat de teller het getal 15 in plaats van het getal 9. Bij de tiende puls gaat de teller naar de initiële nulstatus.

Het principe van geforceerde nulstelling is geïmplementeerd in de K155IE5 IC, een vier-bits seriële binaire teller met een variabele teller binnen 16. Het symbolische grafische symbool van de K155IE5-teller wordt weergegeven in figuur 5.9.

Figuur 5.9 - Teller met geforceerde nulstelling K155IE5

De structuur van de K155IE5-teller wordt weergegeven in Figuur 5.10.

Figuur 5.10 - Structuur van een teller met geforceerde nulstelling K155IE5

De K155IE5-teller bestaat uit vier telflipflops gebaseerd op JK-flipflops, en bevat twee onafhankelijke delen met Count = 2 (ingang C1 en uitgang Q1) en met Count = 8 (ingang C2 en uitgangen Q2, Q3, Q4) . Met externe aansluitingen Q1 tot C2 kunt u een seriële teller verkrijgen met Kch = 2 × 8 = 16. Ingangen R1 en R2 worden gebruikt om de teller op nul te zetten, wat zal gebeuren als R1 = R2 = 1.

Het principe van het verkrijgen van een willekeurige telcoëfficiënt is gebaseerd op de toevoer van enkele signalen van de telleruitgangen naar de nulingangen.

Om bijvoorbeeld Kch=10 te verkrijgen, wordt eerst het aantal triggers bepaald. Het zouden er vier moeten zijn, want... 24=16, dat is meer dan 10. Er wordt een verbinding gemaakt tussen Q1 en C2. Schrijf vervolgens het decimale getal tien in binaire vorm: het wordt Q1=0, Q2=1, Q3=0, Q4=1. Wanneer Ksch = 1010 komt de maximale uitgangscode overeen met het getal 910, en het volgende getal is 010, en niet 1010. Door daarom de uitgangen Q2 en Q4, waarop eenheden gelijktijdig verschijnen na de tiende puls, te verbinden met de ingangen R1 en R2, we krijgen de teller gereset bij de tiende impuls, wat overeenkomt met Kch = 1010. Figuur 5.11 toont een teller met Ksch=10, gebouwd volgens de beschreven methode.

Afbeelding 5.11 - Teller met Ksch=10 gebaseerd op IC K155IE5

Microschakelingen K155IE6, K555IE6, KR1533IE6 zijn een binair-decimale op-/afteller die werkt in de 1-2-4-8-code. De conventionele grafische aanduiding wordt weergegeven in figuur 5.12.

Afbeelding 5.12 - Teller K155IE6, K555IE6, KR1533IE6

Doel van de uitgangen en ingangen van de microschakelingen K155IE6, K555IE6, KR1533IE6:

Ingangen +1 en -1 worden gebruikt voor het leveren van klokpulsen, +1 voor voorwaarts tellen, -1 voor achterwaarts tellen.

Ingang R wordt gebruikt om de teller op 0 te zetten,

Ingang L – voor het registreren van informatie ontvangen via de ingangen D1 - D8 in de teller.

De tellertriggers worden op 0 gezet wanneer het logboek wordt verzonden. 1 ingang R, terwijl ingang L log moet zijn. 1. Om een ​​getal van 0 tot 9 vooraf in de teller op te nemen, moet de code ervan worden verzonden naar de ingangen D1 - D8 (D1 is het minst significante cijfer, D8 is het meest significante), terwijl de R-ingang een log moet hebben. 0, en voer een puls met negatieve polariteit in op ingang L.

De pre-opnamemodus kan worden gebruikt om frequentiedelers te bouwen met een afstembare deelverhouding. Als deze modus niet wordt gebruikt, moet het logniveau op de L-ingang constant worden gehandhaafd. 1.

Direct tellen wordt uitgevoerd door pulsen met negatieve polariteit toe te passen op ingang +1, terwijl er een log moet zijn op ingangen -1 en L. 1, bij ingang R – log. 0. Het schakelen van de tellertriggers vindt plaats afhankelijk van de afname van de ingangspulsen; gelijktijdig met elke tiende ingangspuls wordt een negatieve uitgangsoverstroompuls gevormd op uitgang >9, die kan worden geleverd aan ingang +1 van de volgende multi-bit tellermicroschakeling . De niveaus op uitgangen 1-2-4-8 van de teller komen overeen met de huidige status van de teller (in binaire code). Bij het aftellen worden ingangspulsen toegepast op ingang -1, uitgangspulsen worden verwijderd van uitgang ≤ 0.

LIJST VAN GEBRUIKTE REFERENTIES

1. Aleksenko AG Microschakelingen. - M.: Radio en communicatie. - 1982.

2. Biryukov S.A. Toepassing van digitale microschakelingen uit de TTL- en CMOS-serie. -M.: DMK. -2000

3. Bukreev Ya.P. Micro-elektronische circuits van digitale apparaten. - M.: Radio en communicatie. - 1990.

4. Zeldin E.A. Digitale geïntegreerde schakelingen in informatie- en meetapparatuur. - L.: Energoatomizdat. - 1986.

5. geïntegreerde schakelingen: Directory. Ed. Tarabrina B.V. -M.: Energoatomizdat. -1985.

6. Malyshev AA Grondbeginselen van digitale technologie. - M.: Radio en communicatie. - 1984

7. Ovechkin Yu.A. micro-elektronica - M.: Radio en communicatie - 1982.

8. Grondbeginselen van digitale circuits / I.P.Barbash, M.P. Blagodarny, V.Ya.Zhikharev, V.M.Ilyushko, V.S.Krivtsov, P.M.Kulikov, M.V.Nechiporuk, G.M.Timonkin, V.S.Kharchenko.-Kh.-National Aerospace University “Khark. luchtvaart in-t.” - 2002.

Ik had het over logische elementen – de ‘bouwstenen’ die de basis vormen van digitale technologie en hun doeleinden. In dit bericht zal ik meer in detail praten over het gebruik van digitale microschakelingen die logische elementen bevatten.

De eenvoudigste schema's

Het eerste schema is het eenvoudigste monsternemer voor het testen van elektrische circuits. Met deze sonde kunt u de betrouwbaarheid van elektrisch contact bepalen, een open circuit vinden en de bruikbaarheid van weerstanden, halfgeleiderdiodes en transistors controleren.

Diagram van een sonde voor het testen van de continuïteit van een elektrisch circuit.

Laten we zijn werk beschrijven. Wanneer de XT-sondes open zijn, worden de ingangen van het logische element DD1 hoog ingesteld ten opzichte van de gemeenschappelijke draad logisch niveau Spanning. Dienovereenkomstig zal de uitgang van element DD1 een laag logisch niveau hebben, terwijl de LED VD1 niet zal oplichten. Als de probes met elkaar zijn verbonden, heeft de DD1-ingang een laag logisch niveau en is de uitgang hoog. Een gloeiende diode geeft aan dat de uitgangen ten opzichte van elkaar gesloten zijn. Wanneer de sondes dus op een werkend circuit zijn aangesloten, zal de LED oplichten, en als de LED niet oplicht, betekent dit dat er een open circuit in het circuit is.

Het onderstaande diagram is logische sonde. Het is bedoeld om het logische spanningsniveau in de elektrische circuits van digitale apparaten te bepalen.


Logisch sondecircuit.

IN originele staat Aan de ingangen van het logische element DD1 en de uitgang DD2 wordt een hoog logisch niveau ingesteld en dienovereenkomstig brandt de LED VD1. Wanneer de LED's zijn aangesloten op een circuit met een hoog logisch niveau, blijft de VD1-LED branden, en wanneer een laag logisch niveau verschijnt op de DD1-ingang, zal de VD1-LED overeenkomstig uitgaan.

Verder verhaal over het gebruik van digitale microschakelingen is niet mogelijk zonder kennis intern apparaat digitale TTL- en CMOS-microschakelingen en hun transmissie-eigenschappen.

Interne structuur van TTL digitale chips

Alle families van digitale chips zijn gebaseerd op fundamentele logische elementen. Voor alle microschakelingen van de TTL-familie is een dergelijk element aanwezig element 2I-NIET, die het volgende heeft interne organisatie. Hieronder ziet u een diagram van het 2I-NOT-element en zijn tijdelijke respons


Schema van het basiselement TTL 2I-NOT en zijn tijdelijke respons.

Aan de ingang van het element staat transistor met meerdere emitters VT1 dan versterker podium op transistor VT2 en push-pull eindtrap op transistoren VT3, VT4.

Laten we de werking van het logische element 2I-NOT beschrijven. In de begintoestand is de ingangsspanning niet hoger dan 0,5 V en is de emitterovergang van transistor VT1 open, deze spanning is niet voldoende om de collectorovergang naar de open toestand over te brengen, hetzelfde geldt voor de emitterovergangen van transistoren VT2, VT4. Daarom zijn deze transistoren gesloten en is transistor VT3 open door de spanning afkomstig van R2. Diode VD3 blijkt open te zijn en de spanning aan de uitgang van het element bedraagt ​​ongeveer 3...4 V ( punt A). Wanneer de spanning op de emitters van VT1 begint te stijgen, begint transistor VT2 te openen en sluit transistor VT3 soepel ( sectie A – B). Een verdere verhoging van de spanning op de ingangstransistor leidt ertoe dat transistor VT2 nog verder opent, ook de spanning op R3 stijgt en transistor VT4 opent. Als resultaat omzeilt de emitterovergang van transistor VT4 weerstand R3, en transistor VT2 gaat scherp open en de spanning aan de uitgang van het element neemt af. Op dit moment ( sectie B – C) alle transistors zijn open en in actieve modus. Als u de ingangsspanning blijft verhogen, gaan de transistoren VT2 en VT4 in de verzadigingsmodus ( sectie B – D), en transistor VT3 zal sluiten en de uitgangsspanning zal gelijk worden aan de verzadigingsspanning van transistor VT4, en de stroom zal worden begrensd door weerstand R4.

Sectie B – C tijdelijke respons kan worden gebruikt voor analoge signaalverwerking In deze modus heeft de transiënte respons een hoge lineariteit en een maximaal stroomverbruik.

Intern ontwerp van digitale CMOS-chips

Net als in de TTL-familie, CMOS-chips het basiselement is 2I-NOT, waarvan de interne structuur hieronder wordt weergegeven


Diagram van het basis 2I-NOT CMOS-element en zijn transiënte respons.

Dit logische element werkt complementaire veldeffecttransistors. Transistoren met p-type kanaal (VT1, VT2) verbonden met de positieve geleider van de stroombron, met n-type kanaal (VT3, VT4) in serie geschakeld.

Bij een ingangsspanning van 2 V of minder zijn de transistoren VT1 en VT2 open, omdat de spanning in de poort-bronsecties (met een voedingsspanning van 9 V) minimaal 7 V is. De spanning in dezelfde secties van transistors VT3 en VT4 is onvoldoende om ze te openen, daarom zal er aan de uitgang van het element een spanning zijn die bijna gelijk is aan de voedingsspanning, dat wil zeggen ongeveer 9 V ( punt A). Naarmate de ingangsspanning toeneemt, beginnen de transistors te openen en beginnen VT1 en VT2 te sluiten. Op sectie A – B dit proces verloopt relatief soepel, en sectie B – C het is sneller en meest lineair. Op punt B transistors VT1 en VT2 zijn bijna volledig gesloten en VT3 en VT4 zijn open. Uitgangsspanning in dit geval is deze klein en bij een verdere toename van de ingangsspanning tot het niveau van de stroombron neigt deze naar nul ( punt G).

Logisch element in lineaire modus

Het gebruik van logische elementen van digitale microschakelingen voor het werken met analoge signalen is alleen mogelijk als ze dat wel zijn modus wordt overgeschakeld naar lineair of dichtbij. Dus in lineaire modus TTL-element komt overeen met een versterker met een versterking van 10 ... 15 (ongeveer 20 dB), en CMOS-element– een versterker met een versterking van 10 ... 20 (20 ... 26 dB).


Uitvoer van een logisch element in lineaire modus: van links naar rechts door stroom, spanning, feedback.

Er worden verschillende methoden gebruikt om een ​​logisch element naar een lineaire sectie uit te voeren. Eén ervan is gebaseerd op inclusie aan de ingang van de TTL-elementweerstand R. Deze weerstand zorgt ervoor dat er een stroom door de emitterovergang van de ingangstransistor van het TTL-element vloeit. Door de weerstand van de externe weerstand te veranderen, kunt u de spanning aan de uitgang van het element wijzigen, dat wil zeggen de positie van het werkpunt op de overdrachtskarakteristiek wijzigen. Voor TTL-elementen De weerstand van zo'n externe weerstand varieert van 1 kOhm tot 3 kOhm. Echter, deze methode niet van toepassing op CMOS-chips, omdat ze zonder uitgangsstromen werken (er zijn lekstromen, maar deze zijn klein en onstabiel).

De tweede manier om een ​​logisch element in de bedrijfsmodus te brengen kan zijn door toe te passen naar de ingang van de overeenkomstige spanning, bijvoorbeeld gebruiken resistieve verdeler. Ja voor TTL-elementen het midden van een lineair gedeelte overdrachtskarakteristiek komt overeen ingangsspanning 1,5…1,8 V, en voor CMOS 3…6 V(bij voedingsspanning 9 V). Voor verschillende logische elementen is deze spanning niet hetzelfde, daarom wordt deze experimenteel geselecteerd. De waarden van de ingangsweerstanden zijn zo gekozen dat de ingangsstromen van de elementen geen invloed hebben op de spanning die van de weerstandsdeler wordt verwijderd.

De derde methode is hiervoor het meest effectief negatieve feedback creëren (NF) door gelijkstroom tussen de ingang en uitgang van het element, waardoor het werkpunt automatisch op het vereiste deel van de overdrachtskarakteristiek wordt gehouden en geen zorgvuldige selectie van externe weerstanden vereist. Deze methode is geïmplementeerd voor logische poorten met inversie ingangssignaal: NIET, EN-NIET, OF-NIET.

Weerstand weerstand in het OOS-circuit worden geselecteerd op basis van het voorzien van het element van de vereiste ingangsstroom. Voor CMOS-elementen het bedraagt van enkele kilo-ohm tot tientallen mega-ohm, en voor TTL – van tientallen Ohm tot 1 kOhm. Maar het gebruik van OOS vermindert de versterking van het element.

Logische versterkers

Om logische elementen als signaalversterkers te gebruiken, is het noodzakelijk om het werkpunt naar het lineaire gedeelte van de overdrachtskarakteristiek te brengen. De belangrijkste kenmerken van dergelijke versterkers worden weergegeven in de onderstaande tabel.

Serie Schema
uitvoer naar
lineair
modus
Aan ons,
dB
Fmax,
MHz
R-verbruik
mW
Jij eruit,
IN
Rijn,
kOhm
R uit,
kOhm
R1,
kOhm
R2,
kOhm
K155 OOC 18 40 20 1,2 0,6 0,05 0,68 0,68
Huidig 21 0,8 1,9
K176 OOC 25 5,5 5 … 20 1,5 0,4 0,05 7,5 5,1
Huidig 17 3 … 4 5,0 3,5 6 6,2 4
561 OOC 25 1000 7 1000 1000

Hieronder wordt het circuit van de eenvoudigste versterker op basis van een TTL-element weergegeven. Het afstellen van de versterker komt neer op het instellen van het werkpunt van het element met de afregelweerstand R1 in het midden van het lineaire gedeelte van de overdrachtskarakteristiek.


De eenvoudigste versterker op basis van een TTL-element

Het nadeel van eenvoudige versterkers is lage ingangsimpedantie, wat hun toepassingsgebied beperkt. Bovendien is de winst klein. Dit nadeel wordt geëlimineerd door het te gebruiken in combinatie met transistors. De winst wordt vergroot door meerdere trappen in serie te schakelen. Bovendien bevat de digitale chip meerdere identieke elementen, waardoor het mogelijk is om meerkanaalsversterkers te creëren. Een voorbeeld is het onderstaande diagram. Belangrijkste kenmerken van de versterker: versterking – 50; uitgangsimpedantie 50 Ohm, ingangsimpedantie 5 kOhm, bovengrensfrequentie 40 MHz.


Versterkercircuit met een transistor aan de ingang

CMOS-elementen kunnen ook worden gebruikt voor versterkers, waarvan het circuit hieronder wordt weergegeven. Een veelvoorkomend nadeel van CMOS-versterkers is hoge uitgangsimpedantie. Dit kan worden geëlimineerd door een logisch element aan de uitgang te installeren emitter volger op de transistor en verbindt deze met het OOS-circuit.


Versterkercircuits gebaseerd op CMOS-elementen.

Drempelapparaten gebaseerd op logische elementen

Drempel apparaten, comparatoren genoemd, zijn ontworpen om een ​​analoog signaal om te zetten in digitale informatie. Het eenvoudigste drempelapparaat is de Schmitt-trigger, die in dit artikel wordt beschreven. Naast het genereren van pulsen en het herstellen van digitale signalen, worden drempelwaardeapparaten gebruikt in analoge - digitale converters, pulsgeneratoren in verschillende vormen.


Diagram van een drempelapparaat op basis van logische elementen.

Over het algemeen is het logische element zelf een drempelapparaat, maar dat is het ook overdrachtskarakteristiek niet geheel lineair. Om de lineariteit van de overdrachtskarakteristiek van een logisch element te vergroten, moet dit worden afgedekt positieve feedback (POF) door gelijkstroom door weerstand R2. In dit geval verandert het in een soort Schmitt trigger met de mogelijkheid om drempelspanningen te regelen. Hysteresislusbreedte(het verschil tussen de drempelspanningen) hangt af van de verhouding van de waarden van weerstanden R1 en R2. De gevoeligheid hangt ook af van deze weerstanden. Naarmate R2 toeneemt en R1 afneemt, neemt de gevoeligheid toe en neemt de breedte van de hysteresislus af. Voor TTL-chips weerstand R1 = 0,1 ... 2 kOhm, en R2 = 2 ... 10 kOhm. Drempelapparaten op basis van CMOS-elementen zijn zeer zuinig, maar het nadeel is een lage gevoeligheid. Voor CMOS-chips R1 is enkele tientallen kilo-ohm en R2 is enkele honderden kilo-ohm.

Generatoren gebaseerd op logische elementen

Digitale microschakelingen worden veel gebruikt schakelschema's van verschillende generatoren met frequenties van fracties van een hertz tot tientallen megahertz en meer verschillende vormen impuls. Over het algemeen vertegenwoordigen generatoren een of meerdere versterkingsfasen, die hieronder worden behandeld frequentieafhankelijke feedback. Als dergelijke circuits worden RC-, LC-, RLC-circuits, evenals piëzokeramische en kwartsresonatoren gebruikt.

Hieronder weergegeven generatorcircuit met RC-frequentieafhankelijk circuit. De werking van deze generator hangt samen met de laad- en ontlaadprocessen van condensator C1 via weerstand R1.


RC-oscillatorcircuit

In dit generatorcircuit wordt een OOS geïmplementeerd via weerstand R1, die het logische element in lineaire modus zet, en een frequentie-afhankelijke POS wordt geïmplementeerd via condensator C1. Deze generator maakt gebruik van zowel TTL- als CMOS-elementen. De weerstand van weerstand R1 wordt op dezelfde manier geselecteerd als voor de versterkertrap met OOS, en de capaciteit van de condensator wordt geselecteerd afhankelijk van de vereiste oscillatiefrequentie. De opwekkingsfrequentie kan worden bepaald met behulp van de benaderende formule

F\circa\frac(0,7)(RC)

Tijdens bedrijf produceert een dergelijke generator vierkante pulsen met een dutycycle van ongeveer gelijk aan 2. De maximale opwekkingsfrequentie wordt beperkt door de waarde van de schakelvertraging van logische elementen, dus voor CMOS-chips maximale frequentie bedraagt 2…4 MHz, en voor TTL- sommige tientallen MHz.

Met behulp van digitale chips kun je ook krijgen sinusgolfgenerator, voor dit doel is het noodzakelijk om te gebruiken LC-circuit. Het diagram van een dergelijke generator wordt hieronder weergegeven.


LC-oscillatorcircuit

Zowel serieel als parallel worden gebruikt als frequentieafhankelijke communicatie oscillerend circuit, maar in ieder geval zal de oscillatiefrequentie overeenkomen Thompsons formule

F=\frac(1)(2 \pi \sqrt(LC))

De weerstand van weerstand R1 wordt op dezelfde manier geselecteerd als voor de versterkertrap.

Het nadeel van de hierboven beschreven generatoren is de lage stabiliteit van de gegenereerde frequentie. Om het te vergroten, worden piëzokeramische en kwartsresonatoren gebruikt, inclusief hun in een ketting feedback in plaats van een condensator of een oscillerend circuit.


Generatorcircuit met kwartsfrequentiestabilisatie

Theorie is goed, maar zonder praktische toepassing zijn het slechts woorden.

LEZINGEN

Door discipline

"Organisatie en bediening van computers"

voor studenten

specialiteit 2-40 01 01 “Informatietechnologiesoftware”

Oshmyany 2010


ANNOTATIE

Voor lesmateriaal over de discipline "Organisatie en werking van computers" voor studenten van specialiteit 2-40 01 01 "Informatietechnologiesoftware", ontwikkeld door de leraar van de onderwijsinstelling "Oshmyany State Agrarian-Economic College" M.G. Sjatkevitsj.

De relevantie van het methodologische materiaal, de betekenis ervan. Deze reeks lezingen is een reeks materialen die nodig zijn voor de hoogwaardige organisatie van het werk van studenten in praktische lessen over het beheersen van de discipline "Organisatie en werking van computers" voor studenten van specialiteit 2-40 01 01 "Informatietechnologiesoftware"

De lezingen zijn ontwikkeld in strikte overeenstemming met de regelgeving inzake educatieve en methodologische complexen van disciplines die van kracht zijn aan het Oshmyany State Agrarian and Economic College. Het complex omvatte lezingen over alle onderdelen van de discipline 'Organisatie en werking van computers'.

Mogelijkheid tot praktische toepassing. De reeks lezingen kan worden aanbevolen aan docenten bij het voorbereiden en geven van lessen in de discipline "Organisatie en werking van computers" voor studenten van de specialiteit 2-40 01 01 "Informatietechnologiesoftware", evenals voltijdstudenten tijdens zelfstudie .

Conclusie van de fietscommissie. De reeks lezingen werd beoordeeld tijdens een bijeenkomst van de cycluscommissie van juridische disciplines en informatietechnologieën, en aanbevolen voor gebruik binnen de universiteiten (protocol nr. ___ gedateerd “____” ______________________20___).


Invoering. 4

Presentatie van informatie op een computer... 5

Codes met foutdetectie. 9

Foutcorrectiecodes. 10

Sectie 2. Algebra van logica en theoretische grondslagen van de synthese van digitale apparaten. 11



Elementen van wiskundige logica. elf

Vormen van logische functies en hun gebruik voor de synthese van logische circuits.. 13

Logische elementen en circuits. Classificatie van logische apparaten. 15

Methoden voor het minimaliseren van logische functies. 17

Sectie 3. Synthese van combinatorische circuits.. 18

Stadia van het construeren van een logisch circuit.. 18

Multiplexers en demultiplexers.. 20

Decryptors en encryptors.. 22

Vergelijkers, optellers.. 24

Sectie 4. Triggerelementen van digitale apparaten. 25

Classificatie van triggers en hun Algemene karakteristieken. Asynchrone RS-flip-flop en zijn varianten 25

Asynchrone flip-flops met één ingang.. 27

Synchrone triggers.. 29

Sectie 5. Synthese van digitale automaten. 31

Registreert. Schuifregister. 31

Tellers volgens mod M. Omkeerbare tellers. Synthese van sequentiële circuits.. 33

Sectie 6. Huidige toestand en vooruitzichten voor de ontwikkeling van de elementbasis en computertechnologie. 35


Invoering

De cursus Organisatie en werking van computers is gebaseerd op de fundamentele stelling dat: Een computer kan worden gezien als een hiërarchie van structurele niveaus van een organisatie.

Deze verklaring geldt zowel voor de hardwareorganisatie als voor de structuur en organisatie software. Op het hoogste niveau van de hiërarchie bevinden zich probleemgeoriënteerden software, zoals Mathcad (voor het oplossen van wiskundige problemen), Visuele basis Voor kantoortoepassingen, het niveau hieronder is procedureel georiënteerde talen (C/C++, Pascal)..., het laagste niveau is het niveau van fysieke implementatie van digitale logische elementen.

Het hoofddoel van de cursus: vertrouwd raken met het niveau van fysieke implementatie en met enkele van de theoretische grondslagen die worden gebruikt om de lagere niveaus van de organisatie te beschrijven:

ü het principe van digitale representatie van gegevens in technische apparaten;

ü basisbeginselen van logische algebra en het gebruik ervan om de werking van digitale apparaten te beschrijven;

ü nummersystemen;

ü enkele basisconcepten van digitale schakelingen: combinatorisch logische apparaten en apparaten met geheugen (triggers, registers, tellers).

Een aanzienlijk deel van de bestudeerde kwesties is van toegepaste aard en helpt aanzienlijk bij de geïnformeerde selectie van geschikte technieken specifieke situaties, waardoor de prestatiekenmerken soms aanzienlijk kunnen worden verbeterd softwaremodules(verminder de hoeveelheid vereist geheugen of de uitvoeringstijd).

Het doel van het onderwijzen van de discipline “Organisatie en bediening van computers” is het bestuderen van de fysieke grondslagen van constructie en bediening moderne middelen computertechnologie, principes van constructie en werking van computerelementen, knooppunten en apparaten. De discipline omvat informatie over de rekenkundige, logische en circuitfundamenten van computerconstructie en vormt de basis voor de daaropvolgende studie van de discipline "Microprocessortechnologie".

De discipline is gebaseerd op de kennis die studenten verwerven tijdens de studie van de disciplines “Wiskunde” en “Informatica”.

Voor het bestuderen van het lesmateriaal wordt praktijk- en laboratoriumwerk voorzien. Het disciplineprogramma is ontworpen voor 68 uur, waarvan 34 uur hoorcolleges, 24 uur praktijklessen en 10 uur laboratoriumlessen.

Bibliografie

1. Babich N.P., Zhukov I.A. Computercircuits. Bouwmethoden en

2. Ontwerp: zelfstudie. – K.: “MK-Press”, 2004

3. Zhmakin AP Computerarchitectuur. - Sint-Petersburg: BHV-Petersburg, 2006

4. Lysikov BG Digitale en computertechnologie - Mn.: UP Ecoperspective, 2002

5. Novikov Yu V. Grondbeginselen van digitale schakelingen. Basiselementen en diagrammen. Ontwerpmethoden. M.: Mir, 2001

6. Ugryumov E.P. Digitale schakelingen - St. Petersburg: BHV-Petersburg, 2004

7. Boyko VI-circuitontwerp elektronische circuits. Microprocessoren en microcontrollers. - Sint-Petersburg: BHV-Petersburg, 2004

8. Tsilker B. Ya., Orlov S.A. Organisatie van computers en systemen. – Sint-Petersburg: Peter, 2004

9. Informatica: leerboek / B.V. Sable – Rostov n.v.t.: Phoenix, 2006


Sectie 1. Wiskundige grondslagen van digitale schakelingen


Ministerie van de Russische Federatie

Tomsk Polytechnische Universiteit

__________________________________________________________________

E.L. Sobakin

DIGITALE CIRCUITTECHNIEK

Handleiding

UDC-681.325.6

Sobakin EL Digitale schakelingen. Leerboek toelage. Deel I Tomsk: Uitgeverij. TPU, 2002. - 160 blz.

De handleiding schetst de belangrijkste onderwerpen van de cursus colleges voor studenten van specialiteit 210100 Management en informatica in technische systemen. De handleiding is opgesteld bij de afdeling Automatisering en computersystemen TPU, compatibel leerplan discipline en is bedoeld voor studenten van het Instituut voor Afstandsonderwijs.

Gepubliceerd volgens de resolutie van de Redactie- en Uitgeversraad van de Polytechnische Universiteit van Tomsk

Recensenten:

V.M. Dmitriev Professor, doctor in de technische wetenschappen, hoofd van de afdeling theoretische grondslagen van elektrotechniek, Tomsk Universiteit voor besturingssystemen en radio-elektronica;

SI. Korolev directeur van NPO Spetstekhauditservis LLP,

Kandidaat Technische Wetenschappen, Senior Onderzoeker.

Tempel 2002

Polytechnische Universiteit van Tomsk, 2002

Invoering

Dit leerboek is bedoeld voor studenten van instellingen voor hoger onderwijs die studeren in specialiteit 210100 "Informatica en management in technische systemen." Het is samengesteld op basis van een reeks lezingen die de auteur gedurende een aantal jaren heeft gegeven aan de Tomsk Polytechnische Universiteit, en is gewijd aan een systematische presentatie van methoden voor de geformaliseerde constructie van digitale technologie-apparaten op veelgebruikte microschakelingen.

De discipline "Digital Circuit Engineering" moet worden beschouwd als een voortzetting van de cursus "Elektronica", die studenten eerst moeten beheersen, aangezien kennis van de elementaire basis van analoge apparaten vereist is. elektronische apparaten.

De meeste moderne automatiseringssystemen, computersystemen, informatieoverdracht- en verwerkingssystemen worden geheel of gedeeltelijk op digitale apparaten uitgevoerd. Daarom kennis van de principes van het gebruik van digitale apparaten en het bouwen van systemen die daarop zijn gebaseerd voor verschillende doeleinden Het heeft werkelijke waarde en grote praktische waarde, zowel bij technische activiteiten als bij methodologisch onderzoek.

Het materiaal in de handleiding kan grofweg in drie delen worden verdeeld: 1) Grondbeginselen van de micro-elektronica; 2) Combinatieapparaten van digitale technologie; 3) Sequentiële logische apparaten van digitale technologie.

Wanneer u begint met het beheersen van de cursus, moet u het materiaal bestuderen in de volgorde waarin de gespecificeerde delen worden vermeld, aangezien het volgende materiaal gebaseerd is op kennis van de vorige, en het veranderen van de volgorde kan leiden tot problemen bij het beheersen ervan. Dit wordt nog verergerd door het feit dat andere leerboeken en gespecialiseerde technische literatuur verschillende termen en concepten gebruiken om dezelfde verschijnselen, processen, uitgevoerde transformaties, enz. te verklaren. Het verschil in de gebruikte concepten of de onjuistheid ervan leidt tot een verkeerd begrip van de essentie van het gepresenteerde materiaal en, als gevolg daarvan, tot moeilijkheden bij het assimileren ervan.

De eerste twee van deze secties zijn opgenomen in het eerste deel van deze handleiding (Deel 1). Aan het derde deel is een aparte handleiding gewijd.

IN 1.Toepassing van digitale apparaten

Momenteel is er, in verband met de creatie en wijdverbreide introductie van microprocessorapparaten en -systemen in de technische praktijk, belangstelling voor digitale methoden verwerking en overdracht van informatie. Deze methoden geven de systemen op hun beurt een aantal positieve eigenschappen en kwaliteiten. De betrouwbaarheid van de verzonden informatie neemt toe, hoge snelheid en productiviteit van informatieverwerkingssystemen worden bereikt, hun aanvaardbare kosten, hoge betrouwbaarheid, laag energieverbruik, enz. Zijn verzekerd.

De problemen die door deze systemen worden opgelost zijn zeer divers en bepalen vooraf de functies van de apparaten die een specifiek systeem vormen. Daarom is het raadzaam om apparaten en hun functies te beschouwen in het licht van de taken die door systemen worden opgelost en, in het bijzonder, de subtaken die door individuele apparaten of blokken worden uitgevoerd.

Voornaamst typische taken die ontstaan ​​tijdens automatisch of geautomatiseerd beheer en controle van productie- of andere processen zijn:

verzameling informatie (ontvangen);

transformatie informatie (schaling, normalisatie, filtering, codering, enz.);

transmissie-ontvangst informatie;

verwerking en gebruik informatie;

opslag informatie.

Afhankelijk van het beoogde doel en de belangrijkste functies zijn er:

Automatische (of geautomatiseerde) controle- en monitoringsystemen.

Informatieoverdrachtsystemen.

Informatieverwerkingssystemen (computersystemen).

Om de relatie tussen deze taken, de plaats en de rol van elektronische digitale apparaten die in deze systemen worden gebruikt, te begrijpen, moeten we de algemene structurele diagrammen van deze systemen en het functionele doel van hun componenten bekijken.

B1.1. Systemen automatische controle

Beheren betekent om de toestand (positie) van het bestuurde object te kennen en in overeenstemming met een bepaald algoritme ( controle algoritme) beïnvloeden het object en proberen opkomende afwijkingen te elimineren.

Daarom houdt controle in het algemene geval verband met de volgende acties:

het verkrijgen van informatie over de staat van het object;

vergelijking van de ontvangen informatie met de gespecificeerde informatie over de toestand van het object;

genereren van stuursignalen (invloeden);

het beïnvloeden van een object om het in de gewenste staat te brengen.

In overeenstemming met de opgesomde acties moet het automatische controlesysteem (ACS) in het algemeen een informatiemeetapparaat, een controleapparaat en een actuator omvatten (Fig. B1).

Informatie- en meetapparaat (AIU) ontvangt informatie over het besturingsobject (OU) en verwerkt deze voor. Het verkrijgen van informatie bestaat uit het genereren van primaire signalen, waarvan de waarden evenredig zijn aan de waarden van parameters die de toestand van de op-amp karakteriseren. Een object kan worden opgevat als een afzonderlijke productie-eenheid of als het productieproces als geheel. En onder de parameters staan ​​de “uitvoercoördinaten” van het object. Dit kunnen bijvoorbeeld waarden zijn van temperatuur, druk, materiaal- of energieverbruik en dergelijke. Omdat de meeste van deze coördinaatparameters in analoge vorm worden gepresenteerd en worden gekenmerkt door een oneindige reeks waarden, moeten de signalen worden genormaliseerd aan de hand van hun parameters, geschaald en een uniforme vorm hebben.

Daarom moet de IMU beschikken over primaire meetomvormers en sensoren, analoog-digitaalomzetters en andere functionele eenheden met behulp waarvan de volgende conversies worden uitgevoerd:

waarden van fysieke grootheden in uniforme analoge signalen van gelijkstroom of wisselstroom;

het schalen of normaliseren van signalen op basis van niveau en vorm;

omzetting van analoge signalen in discrete (digitale) signalen;

signaalcodering en enkele andere transformaties.

Er worden signalen over de huidige coördinaatwaarden verzonden controle apparaat (UU). De functies van dit apparaat omvatten het vergelijken van huidige waarden met gespecificeerde coördinaatwaarden en het genereren van besturingssignalen (besturingssignalen) op basis van de resultaten van de vergelijking. De opgegeven waarden kunnen door een menselijke operator of automatisch door software worden ingevoerd. In het eerste geval een automatische regelaar of meerdere automatische regelaars, waarvan de instellingen door een persoon worden bepaald en ingesteld. In het tweede geval is de besturingseenheid een mini- of microcomputerprogrammamachine en wordt de rol van de menselijke operator beperkt tot het invoeren van het programma en het aanvankelijk opstarten van het systeem.

Om deze functies uit te voeren, moet de besturingseenheid rekenkundige en logische bewerkingen uitvoeren om waarden te berekenen en signalen te vergelijken, signalen op korte en lange termijn te onthouden (opslag) en uniforme besturingssignalen te genereren. Deze laatste bevatten informatie op basis waarvan invloeden op het besturingsobject (besturingsacties) verder worden gevormd, waardoor het in de gewenste staat komt.

De directe impact van de benodigde fysieke natuurvormen aandrijving (IE). Het zet stuursignalen, bijvoorbeeld in de vorm van gelijk- of gepulseerde stroomspanning, om in de rotatiesnelheid van een actuatormotor, in de mechanische beweging van een klep op een stoomleiding, enzovoort. Om deze conversies uit te voeren heeft u het volgende nodig: digitaal naar analoog converters; omzetters van elektrische signalen in niet-elektrische signalen; versterkingsapparatuur, enz. In dit geval kunnen als tussenvorm digitale signaalcodeomzetters of signaalrepresentatievormen nodig zijn. Bijvoorbeeld codes van binaire getallen in een proportioneel aantal pulsen, enkelfasige signalen in polyfasige signalen, gebruikt om stappenmotoren te besturen, enz.

Onder invloed van storende invloeden verlaat het object zijn normale toestand (modus) en keert de ACS terug naar de gewenste (normale) bedrijfsmodus. Het managementproces vindt plaats in echte schaal tijd, dat wil zeggen met een snelheid die wordt bepaald door de aard van fysieke processen. Als de besturingsacties in de tijd worden uitgesteld of buitensporig zijn, kan er een onstabiele werking van het systeem ontstaan, waarbij de coördinaten van het object onaanvaardbare waarden kunnen aannemen en het object zelf of individuele apparaten van het systeem zullen falen en er zal een noodmodus optreden. Daarom in de theorie van gemotoriseerde kanonnen voornaamst Zijn problemen bij het garanderen van stabiliteit en regelnauwkeurigheid.

De meeste van deze transformaties kunnen worden uitgevoerd met behulp van digitale micro-elektronische apparaten. Een besturingseenheid is volledig digitaal als deze is gebouwd op basis van besturingsmicrocomputers of op digitale microschakelingen.

Op digitale microschakelingen worden digitale sensoren van fysieke grootheden uitgevoerd, evenals gedeeltelijk analoog-digitaal en digitaal analoge converters signalen.

B1.2. Informatieoverdrachtsystemen (ITS)

Met een vergroting van de afstand tussen de binnenunit en de besturingseenheid (Fig. B1), evenals tussen de besturingseenheid en de besturingseenheid, het probleem doet zich voor bij het verzenden van informatie. De noodzaak om informatie over aanzienlijke afstanden te verzenden ontstaat niet alleen in ruimtelijk ontwikkelde systemen voor automatische controle en monitoring, maar ook in systemen anderen soorten communicatie(telegraaf, telefoon, telefax, enz.). Bovendien ontstaat de noodzaak om informatie te verzenden in computersystemen, datatransmissiesystemen, telemechanische systemen, enz. Deze taak wordt gecompliceerd door het feit dat daarbij transmissies via communicatielijnen parameters zijn vervormd signalen en dit kan op zijn beurt leiden tot vervorming van informatie en een afname van de nauwkeurigheid ervan (de waarschijnlijkheid van een correcte ontvangst). De vervorming van signalen is te wijten aan interferentie, ontstaan in communicatielijnen. Interferentie is in de regel willekeurig van aard en de parameters ervan mogen niet verschillen van de parameters van de signalen. Daarom zijn ze ‘in staat’ signalen te vervormen en zelfs informatie te ‘reproduceren’ transformeer de boodschap die wordt overgebracht. De laatste meest ongewenste gebeurtenis bij de overdracht van informatie.

Om hoge betrouwbaarheid en maximale snelheid te garanderen ( uhFefficiëntie) informatieoverdracht zijn aanvullende signaalconversies en speciale methoden voor de overdracht ervan vereist.

Dergelijke transformaties omvatten codering en omgekeerde procedure het decoderen van informatie(en signalen). Codering is de procedure voor het omzetten van een bericht in een signaal. In dit geval worden transformaties volgens bepaalde regels uitgevoerd reglement waarvan het geheel code genoemd.

Het coderen van informatie wordt uitgevoerd aan de verzendende kant en het decoderen aan de ontvangende kant. Onderscheiden geluidsbestendig coderen en efficiënt. Doelgeluidsbestendig codering bouwen (sfoRedit) een signaal dat minder gevoelig is voor interferentie, geef het eenAeen dergelijke structuur zodat fouten die ontstaan ​​tijdens het verzendproces aan de ontvangende kant kunnen worden gedetecteerd of gecorrigeerd. En zorg zo voor een hoge transmissiegetrouwheid.

Doeleffectief codering maximale snelheid garanderenOde groei van de informatieoverdracht, aangezien de waarde ervan grotendeels wordt bepaald door hoe tijdig deze wordt ontvangen. Volgens deze eis moet het gecodeerde bericht de vereiste hoeveelheid informatie bevatten en tegelijkertijd een minimale lengte hebben, zodat de verzending een minimum aan tijd kost.

Signalen (en informatie) worden verzonden via communicatie kanalen. Koppeling dit is een pad (pad) van onafhankelijke transmissie van signalen van de bronHbijnaam voor de overeenkomstige ontvanger (ontvanger) van informatie. Communicatiekanalen worden gevormd door technische middelen van kanaalvormende apparatuur en zijn, net als communicatielijnen, onderhevig aan interferentie.

Een van de belangrijkste taken die in SPI worden opgelost, is het creëren van het vereiste aantal communicatiekanalen. De efficiëntie en ruisimmuniteit van de transmissie worden grotendeels bepaald door de gebruikte communicatiekanalen. Onder ruisimmuniteit verwijst naar het vermogen van een systeem(signaal, code) zijn functies correct uitvoeren als er sprake is van interferentie.

Normaal gesproken kan hetzelfde systeem worden gebruikt om informatie uit vele bronnen naar een geschikt aantal ontvangers te verzenden. Daarom wordt de vorming van het vereiste aantal kanalen met de noodzakelijke ruisimmuniteit toegewezen aan het communicatieapparaat. In dit geval kunnen de volgende transformaties in het communicatieapparaat worden uitgevoerd: modulatie en demodulatie signalen; versterking van de signalen die naar de lijn worden verzonden en van de lijn worden ontvangenEnonderzoeksinstituut signaalcommunicatie; beperking in niveau en frequentiespectrum signalen en enkele anderen.

Afhankelijk van het gebruiksgebied (toepassing) van de SPI is er behoefte aan aanvullende transformaties, zoals het omzetten van de vorm van signalen, hun fysieke aard, het normaliseren van de parameters van signalen die van buitenaf worden ontvangen en signalen die door het systeem worden afgegeven externe apparaten; tijdelijke opslag van signalen die naar het communicatiekanaal worden verzonden en door het systeem worden uitgegeven.

De opgesomde transformaties bepalen vooraf de functionele samenstelling van zend- en ontvangstapparatuur van informatietransmissiesystemen (Fig. B2).

Zoals uit het diagram blijkt, wordt de transmissie in één richting van links naar rechts uitgevoerd. Het invoer- en primaire informatieconversie-apparaat (IPID) zet signalen afkomstig van informatiebronnen om in uniforme ‘primaire’ signalen die niet rechtstreeks over lange afstanden kunnen worden verzonden. Meestal zijn deze verenigde signalen gelijkspanningen met vaste niveaus. In het UVPI-blok worden primaire signalen opgeslagen voor de duur van de verzending (in een buffergeheugenapparaat), waarna ze uit het geheugen worden gewist. Een coderingsapparaat (CU) zet primaire signalen om in gecodeerde signalen die een bepaalde structuur en formaat hebben, waardoor ze (signalen) over lange afstanden kunnen worden verzonden (“telesignalen”). In de regel is dit apparaat combinatorisch, hoewel het in sommige gevallen ook sequentieel kan worden gemaakt (meerdere cycli). Logische en rekenkundige bewerkingen van coderingsprocedures worden hier geïmplementeerd.

Het hoofddoel van het communicatieapparaat (Fig. B2) is het creëren of organisatie van communicatiekanalen op de voorziene communicatielijn. Communicatie lijn dit is de materiële omgeving tussen de zender (Prd) en de ontvanger (Prm) van het systeem. De figuur toont grofweg een tweedraads elektrische communicatielijn. Er kunnen echter radioverbindingen, glasvezelcommunicatielijnen en andere worden gebruikt. Afhankelijk van het type lijn worden in de Prd en Prm verschillende signaalconversies uitgevoerd om hun parameters en kenmerken te harmoniseren met de parameters en kenmerken van de communicatielijn en transformaties gericht op verhoogde ruisimmuniteit signalen.

Aan de ontvangstzijde worden de gecodeerde signalen ontvangen van de communicatielijn opnieuw door het decodeerapparaat (DCU) omgezet in primaire signalen. Tegelijkertijd worden fouten in de ontvangen signalen gedetecteerd en kunnen deze worden gecorrigeerd door decoderingsprocedures, waardoor de vereiste nauwkeurigheid van de informatieoverdracht wordt gegarandeerd. A uitgangsconverters(VP) transformeert deze primaire signalen in een vorm en vorm (fysieke aard) die kan worden waargenomen door ontvangers van informatie.

Opgemerkt moet worden dat de meeste functionele “knooppunten” en “blokken” die in figuur B2 worden getoond, op digitale chips kunnen worden geïmplementeerd. Daarom zijn informatieoverdrachtsystemen meestal digitaal.

B1.3. Informatieverwerkingssystemen

(computersystemen)

De typische hierboven genoemde problemen kunnen worden opgelost en geformaliseerd met behulp van wiskundige en logische methoden. Op hun beurt werken deze methoden met de eenvoudigste bewerkingen (rekenkundig of logisch), waarvan de uitvoering op sommige “initiële gegevens” een nieuw resultaat oplevert, voorheen onbekend. Deze gemeenschappelijkheid van methoden voor het oplossen van verschillende informatieverwerkingsproblemen maakte het mogelijk een aparte klasse van apparaten en systemen te creëren, waarvan het beoogde doel (aanvankelijk) de automatisering van elektronische computerprocedures was. computermachines(COMPUTER). In het huidige stadium van de ontwikkeling van computertechnologie zijn computers 'veranderd' in computers, op basis waarvan moderne computersystemen voor het verwerken en verzenden van informatie worden gebouwd. Gegeneraliseerd blokschema van enkele computersysteem wordt getoond in figuur B3.

De gegevens worden eerder verwerkt via invoerapparaat UVV kom naar Geheugenapparaat geheugen, waar ze gedurende de gehele verwerkingstijd worden opgeslagen. In hetzelfde geheugen wordt ook het programma voor het verwerken van binnenkomende informatie opgeslagen.

Het systeembedieningsprogramma, evenals “gegevens”, worden opgeslagen in een opslagapparaat in de vorm van meerbits binaire getallen die op specifieke adressen (geheugenceladressen) in geheugencellen worden geschreven. Binaire getallen, waarvan het geheel een gegevensverwerkingsprogramma vertegenwoordigt, zijn gestructureerd in een bepaald aantal delen, die elk een specifiek doel hebben. In het eenvoudigste geval zijn er de volgende onderdelen: 1) de code van de bewerking die moet worden uitgevoerd op twee binaire getallen die de “data”-waarden vertegenwoordigen en “operands” worden genoemd; 2) adres van de eerste operand; 3) adres van de tweede operand. De combinatie van deze onderdelen vormt een “team”.

Het werk van een computer bestaat uit het opeenvolgend uitvoeren van opdrachten, gespecificeerd door het programma. Coördineert het werk van alle blokken op tijd en beheert ze controle apparaat UU. En voert rechtstreeks logische en rekenkundige bewerkingen (acties) uit op de operanden rekenkundige logische eenheid ALU, die op basis van een signaal van de besturingseenheid “operation code” telkens wordt geconfigureerd om een ​​specifieke handeling uit te voeren.

Het besturingsapparaat decodeert het uit het geheugen ontvangen commando (Fig. B3 “volgende commando”), stuurt de operatiecode naar de ALU en bereidt zich voor om de overeenkomstige operatie uit te voeren. Vervolgens genereert het bemonsteringssignalen uit het operandgeheugen (zie het signaal "Data-adressen") en bepaalt het adres van het volgende commando dat moet worden uitgevoerd in de volgende cyclus van de computer ("Volgende commando-adres"). Op basis van signalen van de besturingseenheid worden de operanden uit het geheugen gelezen en voert de ALU de nodige acties uit. In dit geval wordt een tussenresultaat ("Resultaat van de bewerking") gevormd, waardoor ook het geheugen wordt bespaard. Afhankelijk van het resultaat van de bewerking kan het nodig zijn om de volgorde van de opdrachtuitvoering te wijzigen, de gegevensverwerking te stoppen of foutmeldingen aan de operator weer te geven. Hiervoor wordt het signaal “Result Sign” van de ALU naar de besturingseenheid gestuurd. Het proces van het verwerken van de ingevoerde gegevens (informatie) gaat door totdat het commando "Einde berekeningen" wordt opgehaald of de operator, naar eigen goeddunken, het gegevensverwerkingsproces stopt.

Het resulterende verwerkingsresultaat wordt ook in het geheugen opgeslagen en kan via worden uitgevoerd uitvoerapparaat Helaas aan het einde van het verwerkingsproces of tijdens het proces, indien het programma daarin voorziet.

Voor “communicatie” tussen de operator en de computer zijn er voorzien eindapparaten DAT, bedoeld voor de operator om opdrachten en andere berichten in te voeren en om vanaf de computer “berichten” naar de operator uit te voeren.

Figuur B3 toont niet de aansluitingen van het besturingsapparaat, die zorgen voor synchronisatie van de werking van alle componenten van de computer. Brede pijlen geven de mogelijkheid aan van parallelle datatransmissie (gelijktijdige transmissie van alle bits van binaire getallen met meerdere bits).

Bijna alle blokken getoond in figuur B3 (behalve terminalapparaten) kunnen alleen volledig worden geïmplementeerd op digitale geïntegreerde schakelingen (IC's). In het bijzonder kunnen de besturingseenheid, ALU en een deel van het geheugen (registergeheugen SRAM) in de vorm van één IC met een hoge mate van integratie worden uitgevoerd. De genoemde set blokken vormt zich microprocessor een centrale computerprocessor gemaakt met behulp van geïntegreerde technologie op een enkele halfgeleiderchip.

Gegevensinvoer- en uitvoerapparaten bestaan ​​​​in de regel uit bufferopslagregisters die dienen voor de tijdelijke opslag van respectievelijk invoer- en uitvoergegevens en voor het coördineren van het systeem met externe apparaten.

Het opslagapparaat (SRAM) is gewoonlijk verdeeld in twee delen: Random Access Memory (RAM) en permanent geheugen. De eerste dient om tussenresultaten van berekeningen op te slaan; de “inhoud” ervan verandert voortdurend tijdens de gegevensverwerking. RAM werkt in de gegevensmodi "lezen" en "schrijven". En het tweede, alleen-lezen geheugen (ROM), wordt gebruikt voor het opslaan van standaardsubroutines en enkele systeemsubroutines (service) die de processen voor het in- en uitschakelen van de computer regelen. Typisch wordt ROM geïmplementeerd op IC-veldprogrammable ROM (FPROM), ofwel in de fabriek voorgeprogrammeerde IC ROM of door de gebruiker herprogrammeerbare ROM (RePROM). Meestal zijn dit niet-vluchtige opslagapparaten waarin de opgenomen informatie niet wordt “vernietigd”, zelfs niet als ze worden losgekoppeld van de stroombron.

De ALU bevat een IC met dezelfde naam die logische en rekenkundige bewerkingen uitvoert met binaire getallen, logische elementen en een aantal andere functionele eenheden die dienen om getallen te vergelijken, digitale comparatoren, om de snelheid van uitgevoerde rekenkundige bewerkingen te verhogen, bijvoorbeeld: “ snelle overdrachtseenheden”, enz.

De besturingseenheid bevat timerapparaten die worden ingesteld klok frequentie werking van het systeem en, uiteindelijk, het bepalen van de prestaties ervan, commandocodedecoders, programmeerbare logische matrices, registers,, evenals invoer-/uitvoerpoorten.

Alle genoemde functionele eenheden zijn geïmplementeerd in de vorm van geïntegreerde digitale apparaten.

Belangrijkste problemen Computersystemen verbeteren in de eerste plaats hun productiviteit(prestatie). En ten tweede: ervoor zorgen dat de systemen werken live.

Het eerste probleem is van systeembrede aard en wordt opgelost door een nieuwe elementbasis en te gebruiken speciale methoden informatieverwerking.

Het tweede probleem doet zich voor bij het gebruik van computersystemen om productieprocessen te controleren en is dat de snelheid van productie- en computerprocessen op elkaar moeten worden afgestemd. Het functioneren van een computersysteem (CS) vindt inderdaad plaats in de zogenaamde ‘machinetijd’, wanneer een bepaald vast en ondeelbaar tijdsinterval, de ‘werkcyclus’ van een computer of computer genoemd, als tijdseenheid wordt genomen. , terwijl bijvoorbeeld echte fysieke processen plaatsvinden technologische processen, vindt plaats in realtime, gemeten in seconden, fracties van een seconde, uren, enz. Om het gebruik van computers mogelijk te maken, is het noodzakelijk om de snelheid van informatieverwerking niet minder te maken dan de snelheid van echte fysieke processen. De oplossing voor dit probleem wordt bereikt door speciale methoden te organiseren voor het uitwisselen van informatie (gegevens) tussen de besturingscomputer en randapparatuur en het gebruik van speciale, zogenaamde intRgezicht circuits en apparaten. De functies van interfacecircuits omvatten:

het bepalen van het adres van een extern apparaat dat informatie-uitwisseling met de processor of met het systeemopslagapparaat vereist;

het genereren van interruptsignalen voor de BC-processor en het initialiseren van de overgang naar het serviceprogramma voor het object dat om de interrupt heeft verzocht. Dit wordt uitgevoerd volgens een special systeem van prioriteiten;

implementatie van wachtrijen voor het onderhouden van externe apparaten;

coördinatie van parameters en timing van uitwisselingssignalen, enz.

Dankzij moderne prestaties op het gebied van geïntegreerde technologie bij de vervaardiging van micro-elektronische apparaten is het creëren van microcomputers en computers die worden gekenmerkt door kleine afmetingen, laag energieverbruik en redelijke kosten, mogelijk geworden om ze te gebruiken als onderdeel van systemen voor een grote verscheidenheid aan doeleinden. Tegelijkertijd verwerven deze systemen nieuwe kwaliteiten en worden ze multifunctioneel met de mogelijkheid tot flexibele overgang van de ene bedrijfsmodus naar de andere door simpelweg de systeemconfiguratie te wijzigen. Deze voordelen openen op hun beurt nieuwe perspectieven voor het gebruik van computersystemen op een grote verscheidenheid aan gebieden van menselijke activiteit: in de wetenschap, de geneeskunde, het onderwijs en de opleiding, en nog meer in de technologie.

Telefooncommunicatie werd bijvoorbeeld traditioneel uitgevoerd door analoge apparaten, waarbij menselijke spraak (via draden) werd overgedragen door signalen in de vorm van wisselstromen. audiofrequenties. Nu heeft er een intensieve transitie plaatsgevonden naar digitale telefooncommunicatie, waarbij analoge signalen (van een microfoon) worden omgezet in digitale signalen, die zonder noemenswaardige vervorming over lange afstanden worden verzonden. Aan de ontvangstzijde worden deze digitale signalen weer omgezet in analoog en afgeleverd aan de telefoon. De overgang naar digitale communicatie maakt het mogelijk de kwaliteit van de spraakoverdracht te verbeteren; daarnaast kan het telefoonnetwerk voor andere diensten worden gebruikt: beveiligingsalarm; brandalarm; voor “conferentiegesprekken” van meerdere abonnees, enzovoort.

OM 2 UUR. Vergelijkende beoordeling van digitale en analoge apparaten

micro-elektronische technologie

Wanneer u besluit over de constructie of het ontwerp van een apparaat, moet u eerst beslissen over de ontwerprichting: hoe zal het apparaat eruitzien? Analoog of discreet(digitaal)? Deze beslissing kan op zijn beurt worden genomen met kennis van de voor- en nadelen van beide apparaten. Laten we eerst de concepten van “analoge” en “digitale” apparaten definiëren.

Analoog dit heet apparaat, waarin alle invoer-, uitvoer- en tussenliggende (interne) signalen continu zijn, beschreven door continue wiskundige functies. Deze signalen worden gekenmerkt door een oneindige reeks waarden in niveau (toestanden) en zijn continu in de tijd, hoewel het bereik van veranderingen in de waarden van een continu signaal beperkt is. Daarom worden dergelijke apparaten soms genoemd regelenestvami nemet tussenpozen.

Discrete apparaten of apparaten discrete actie zijn degenen waarvan de invoer-, uitvoer- en tussensignalen worden gekenmerkt door een telbare reeks waarden in niveau en bestaan ​​in bepaalde tijdsintervallen. Dergelijke signalen kunnen worden weergegeven in een of ander positioneel nummersysteem (met bijbehorende nummers). Bijvoorbeeld in het decimale getallenstelsel of het binaire getallenstelsel. De binaire representatie van signalen heeft de grootste toepassing gevonden in de technologie en in de formele logica bij het berekenen van uitspraken en bij het trekken van conclusies uit verschillende premissen. Daarom worden discrete apparaten genoemd logisch(vergelijkbaar met formele binaire logica) of digitaal, rekening houdend met de mogelijkheid om ze te beschrijven met behulp van nummers van het positionele nummersysteem.

Nadelen van technische middelen analoge technologie

Aanwezigheid van “drift” en “noise”. Drijvend dit is een langzame verandering in het signaal, vanwege de discrete aard van de verschijnselen, in verhouding tot de gegeven waarde. Voor elektrische signalen bijvoorbeeld de discrete aard van de stroom elektrische stroom veroorzaken elektronen en “gaten”, die dragers zijn van elektrische ladingen. Geluiden Dit willekeurige veranderingen signaal veroorzaakt door externe of interne factoren, bijvoorbeeld temperatuur, druk, sterkte van het magnetische veld van de aarde, enz.

Methodologische problemen bij het definiëren van de concepten “gelijkheid tot nul” en “gelijkheid van analoge signalen”. En als gevolg daarvan het bestaan ​​van het probleem van “het garanderen van de gespecificeerde nauwkeurigheid (fout)” van transformaties en signaaloverdracht.

De mogelijkheid van het ontstaan ​​van onstabiele bedrijfsmodi en het bestaan ​​van het probleem van het ‘zorgen voor stabiliteit’ van de werking van systemen en apparaten. Een onstabiele modus wordt gekenmerkt door het optreden in een apparaat of systeem van ongedempte oscillaties bij de verandering van bepaalde signalen. In de elektronica wordt dit fenomeen veel gebruikt bij de constructie van pulsgeneratoren en harmonische oscillatiegeneratoren.

Technische problemen bij het implementeren van opslagapparaten en tijdvertragingsapparaten voor analoge signalen.

Onvoldoende integratieniveau van analoge elementen en hun veelzijdigheid.

Relatief kort transmissiebereik van analoge signalen als gevolg van energiedissipatie in communicatielijnen.

Relatief groot energieverbruik, omdat analoge elementen werken in de lineaire secties van hun transiënte kenmerken en energie "verbruiken" in de initiële (initiële) toestanden.

Voordelen van analoge technische middelen

Adequaatheid van weergave van fysieke processen en patronen: beide worden beschreven door voortdurende afhankelijkheden. Hierdoor kunnen we de fundamentele technische oplossingen van analoge apparaten en systemen aanzienlijk vereenvoudigen.

Efficiëntie en gemak van het veranderen van bedrijfsmodi: vaak is het voldoende om de weerstand van een weerstand of de capaciteit van een condensator te veranderen, zodat een onstabiele modus verandert in een stabiele modus of om een ​​bepaald transiënt proces in het apparaat te garanderen.

Het is niet nodig om analoge waarden om te zetten in discrete waarden. Deze transformaties gaan gepaard met fouten en een zekere tijdverspilling.

Voordelen van digitale technologie

De mogelijkheid van programmacontrole, die de flexibiliteit vergroot bij het veranderen van de structuur en het algoritme van de systeemwerking, maakt een vereenvoudigde implementatie mogelijk adaptieve wetten beheer.

Gemakkelijk om de gespecificeerde betrouwbaarheid, nauwkeurigheid en ruisimmuniteit van systemen te garanderen.

Gemak om de compatibiliteit van apparaten met digitale informatieverwerkende apparaten (computers, computers) te garanderen.

Hoge mate van constructieve en functionele integratie, veelzijdigheid met de mogelijkheid om systemen te bouwen volgens standaard ontwerpoplossingen. Hierdoor kunt u op zijn beurt de productie- en exploitatiekosten van systemen en apparaten verlagen.

Het vermogen om te ontwerpen met behulp van formele logische methoden, waardoor u de ontwerptijd van apparaten kunt verkorten en het mogelijk maakt om de functies van apparaten (en daarop gebaseerde systemen) te wijzigen door methoden van aggregaatconstructie tijdens bedrijf.

Nadelen van digitale technologie

De noodzaak om analoge signalen om te zetten in discrete signalen. Deze transformaties gaan gepaard met fouten en vertragingen.

De relatieve moeilijkheid van het veranderen van bedrijfsmodi. Om dit te doen, is het noodzakelijk om de structuur van het systeem of het algoritme van zijn werking te veranderen.

De complexiteit van de processen voor het analyseren van de werking van systemen, zowel bij het controleren van de juistheid van hun werking als bij het zoeken naar opkomende fouten. Digitale apparaten worden gekenmerkt door een grote functionele complexiteit, waarvoor speciale ‘diagnostische’ apparaten nodig zijn, die worden bestudeerd in een speciaal technologiegebied genaamd technisch dEnagnostEnhoi.

Verhoogde eisen aan de productiecultuur en onderhoudscultuur voor digitale apparatuur. Dit stimuleert op zijn beurt de noodzaak om de kwalificaties van het servicepersoneel te verbeteren en vereist dat zij hooggekwalificeerd zijn.

Een vergelijkende analyse van de genoemde voor- en nadelen geeft conclusie in het voordeel technische middelen digitale technologie. Daarom worden digitale apparaten momenteel op grote schaal geïntroduceerd in ogenschijnlijk traditionele gebieden van de analoge technologie: televisie, telefooncommunicatie, geluidsopnametechnologie, radiotechnologie en automatische controle- en regelsystemen.

1. Grondbeginselen van micro-elektronische technologie

1.1. Basisconcepten en definities

Micro-elektronica de hoofdrichting van de elektronica, die de problemen van ontwerp, onderzoek, creatie en toepassing van elektronische apparaten bestudeert hoge graad functioneel En constructiesVNoach integratie.

Micro-elektronisch product, geïmplementeerd door middel van geïntegreerde technologie en het uitvoeren van een specifieke functie voor het omzetten en verwerken van signalen, wordt genoemd geïntegreerde schakeling(IC) of eenvoudigweg geïntegreerde schakeling(IS).

Micro-elektronisch apparaat een reeks onderling verbonden IC's die een complete, tamelijk complexe functie (of meerdere functies) uitvoeren voor het verwerken en converteren van signalen. Een micro-elektronisch apparaat kan structureel worden ontworpen in de vorm van een enkele microschakeling of op meerdere IC's.

Onder functionele integratie een toename begrijpen van het aantal functies dat door een bepaald apparaat wordt geïmplementeerd (uitgevoerd). In dit geval wordt het apparaat beschouwd als geheel, ondeelbaar. A constructief inteelegantie is een toename van het aantal componenten in een apparaat, beschouwd als geheel. Een voorbeeld van een micro-elektronisch apparaat met een hoge mate van structurele en functionele integratie is microprocessor(zie hierboven), dat in de regel wordt uitgevoerd in de vorm van één "grote" IC.

Circuit ontwerp is onderdeel van de micro-elektronica, waarvan het onderwerp is bouwmethoden apparaten voor verschillende doeleinden naar microOschema's met een brede toepassing. Het onderwerp ontwerp van digitale schakelingen zijn methoden voor het construeren (ontwerpen) van apparaten met uitsluitend digitale IC's.

Kenmerken van digitale schakelingen wordt veel gebruikt om de functionerende processen van apparaten te beschrijven formeel of formele natuurlijke talen en op basis daarvan geformaliseerde ontwerpmethoden. Formele talen Zijn Booleaanse algebra(algebra van de logica, Boole-algebra) en de taal van “automatische” logische functies algebra van toestanden en gebeurtenissen. Dankzij het gebruik van geformaliseerde methoden wordt dit bereikt multivariantie bij de beslissing toegepaste problemen, doet zich een kans voor optimale keuze circuit oplossingen volgens een of ander criterium.

Formele methoden worden gekenmerkt door een hoog abstractieniveau, verwaarlozing van de specifieke eigenschappen van het beschreven object. De aandacht wordt alleen gericht op de algemene patronen in de onderlinge relaties tussen de componenten van het object en de samenstellende delen ervan. Dergelijke ‘regelmatigheden’ omvatten bijvoorbeeld de regels van rekenkundige bewerkingen in de algebra van getallen (regels voor optellen, aftrekken, vermenigvuldigen, delen). Tegelijkertijd worden ze afgeleid van de betekenis van getallen (of het nu gaat om het aantal appels, of tafels, enz.). Deze regels zijn strikt geformaliseerd; de regels voor het verkrijgen van complexe rekenkundige uitdrukkingen, evenals de procedures voor het berekenen van dergelijke uitdrukkingen, zijn ook geformaliseerd. In dergelijke gevallen zeggen ze: formeel zijn en siNDatNaarzus En taal grammatica beschrijvingen.

In formele natuurlijke talen is de syntaxis geformaliseerd en is de grammatica (regels voor het construeren van complexe uitdrukkingen) onderworpen aan de grammatica van een natuurlijke taal, bijvoorbeeld Russisch of Engels. Voorbeelden van dergelijke talen zijn divers talen in tabelvorm beschrijvingen. De theoretische basis voor het beschrijven van digitale apparaten is met name de ‘Theorie van eindige automaten’ of ‘Theorie van relaisapparaten en eindige automaten’.

1.2. Classificatie van micro-elektronische apparaten

De hele verscheidenheid aan micro-elektronische apparaten (MED's) kan worden geclassificeerd op basis van verschillende criteria:

door het principe en de aard van de actie;

door functioneel doel en uitgevoerde functies;

door productietechnologie;

per toepassingsgebied;

volgens ontwerp en technische kenmerken, enzovoort.

Laten we nu de verdeling van MEU volgens classificatiecriteria nader bekijken.

Volgens principe(karakter) acties alle MEU zijn onderverdeeld in analoog en digitaal. De concepten van analoge en discrete apparaten, inclusief digitale, zijn hierboven al gegeven. Hier merken we op dat als in discrete apparaten alle signalen slechts twee voorwaardelijke waarden van logische nul (log.0) en logische één (log.1) aannemen, de apparaten worden genoemd logisch. In de regel worden alle digitale apparaten geclassificeerd als logische apparaten.

Afhankelijk van de uitgevoerde functies (functioneel doel) worden de volgende micro-elektronische apparaten onderscheiden:

I. Analoog

1.1. Versterkingsapparaten (versterkers).

1.2. Functionele converters die wiskundige bewerkingen uitvoeren op analoge signalen (bijvoorbeeld integratie, differentiatie, enz.).

1.3. Meetomvormers en sensoren van fysieke grootheden.

1.4. Modulators en demodulatoren, filters, mixers en harmonische generatoren.

1.5. Opslagapparaten.

1.6. Spannings- en stroomstabilisatoren.

1.7. Geïntegreerde schakelingen voor speciale doeleinden (bijvoorbeeld voor het verwerken van radio- en videosignalen, comparatoren, schakelaars, enz.).

II. Digitale MEA's

2.1. Logische elementen.

2.2. Encryptors, codeontcijferaars en codeconverters.

2.3. Geheugenelementen (triggers).

2.4. Opslagapparaten (RAM, ROM, PROM, PLM, enz.).

2.5. Rekenkundig-logische apparaten.

2.6. Selectors, shapers en pulsgeneratoren.

2.7. Telapparaten (pulstellers).

2.8. Digitale comparatoren, discrete signaalschakelaars.

2.9. Registreert.

2.10. Microschakelingen voor speciale doeleinden (bijvoorbeeld timers, IC-kits voor microprocessors, enz.).

De bovenstaande classificatie is verre van uitputtend, maar laat ons wel concluderen dat het aanbod aan digitale apparaten veel groter is dan het aanbod aan analoge MEA’s.

Naast de genoemde zijn er microschakelingen voor signaalniveau-omzetters, bijvoorbeeld Schmitt-triggers, waarbij de ingangssignalen analoog zijn en de uitgangssignalen discreet, binair. Dergelijke microschakelingen nemen een tussenpositie in. Op dezelfde manier moeten microschakelingen van analoog-digitaal- en digitaal-naar-analoog-omzetters (ADC en DAC), analoge signaalschakelaars die worden bestuurd door discrete signalen, worden geclassificeerd als "tussenliggende" MEA's.

Afhankelijk van het aantal geïmplementeerde functies worden ze onderscheiden eenOfunctioneel(eenvoudig) en multifunctioneel(complexe) MEU. IN multifunctionele apparaten functies kunnen worden uitgevoerd tegelijkertijd of opeenvolgend op tijd. Afhankelijk hiervan worden de apparaten in het eerste geval "parallelle" actie-apparaten genoemd, en in het tweede geval sequentiële of "sequentiële" actie-apparaten. Als een multifunctioneel apparaat is geconfigureerd om een ​​bepaalde functie uit te voeren door ingangen te schakelen (fysieke heraansluiting van elektrische circuits), dan wordt een dergelijk apparaat een apparaat genoemd met “ harde logica" werk. En als er wijzigingen in de uitgevoerde functies worden aangebracht met behulp van extra externe signalen (aan de zogenaamde stuuringangen), dan moeten dergelijke MEA's worden geclassificeerd als "softwaregestuurd". IC's voor rekenkundige logische eenheden (ALU) kunnen bijvoorbeeld rekenkundige of logische bewerkingen implementeren met twee binaire getallen van meerdere bits. En de instelling om rekenkundige (of logische) bewerkingen uit te voeren wordt uitgevoerd door een extra extern signaal, afhankelijk van de waarde waarvan de gewenste acties zullen worden uitgevoerd. Daarom moeten ALU's worden geclassificeerd als softwaregestuurde MEU's.

Volgens productietechnologie alle IC's zijn onderverdeeld in:

Halfgeleider;

Film;

Hybride.

IN halfgeleider IC Alle componenten en verbindingen worden gemaakt in het volume en op het oppervlak van het halfgeleiderkristal. Deze IC's zijn onderverdeeld in BEnpolair microschakelingen (met vaste polariteit van voedingsspanningen) en aan unipolair met de mogelijkheid om de polariteit van de voedingsspanning te veranderen. Afhankelijk van het circuitontwerp van de "interne inhoud" zijn bipolaire microschakelingen onderverdeeld in de volgende typen:

TTL-transistor-transistorlogica;

TTLsh-transistor-transistorlogica met transistors en Schottky-diodes;

ESL-emittergekoppelde logica;

En 2 L-injectielogica en andere.

Microschakelingen met unipolaire technologie worden gemaakt op MOS-transistors ("metaal-diëlektrische halfgeleider"), of op MOS-transistors ("metaaloxide-halfgeleider"), of op CMOS-transistors (complementaire "metaaloxide-halfgeleider").

IN film In een IC worden alle componenten en verbindingen alleen op het oppervlak van het halfgeleiderkristal gemaakt. Onderscheiden dunne film(bij een laagdikte kleiner dan 1 micron) en dikke film met een filmdikte van meer dan een micron. Dunnefilm-IC's worden vervaardigd met behulp van thermische vacuümdepositie en kathodesputteren, terwijl dikke-film-IC's worden vervaardigd met behulp van zeefdruk gevolgd door het inbranden van additieven.

Hybride IC's bestaan ​​uit “eenvoudige” en “complexe” componenten die zich op hetzelfde substraat bevinden. Halfgeleider- of film-IC-chips worden meestal gebruikt als complexe componenten. Eenvoudige omvatten discrete elektronische componenten (transistors, diodes, condensatoren, inductoren, enz.). Al deze componenten bevinden zich structureel op hetzelfde substraat en er worden ook elektrische verbindingen daartussen gemaakt. Bovendien vormt één substraat met de daarop geplaatste componenten één ‘laag’ van een hybride IC. Onderscheiden een laag En meerlaags hybride IC's. De meerlaagse hybride IC is in staat vrij complexe signaalverwerkingsfuncties uit te voeren. Een dergelijke microschakeling is qua werking gelijk aan een ‘microblok’ van apparaten, of, als het bedoeld is voor onafhankelijk gebruik, aan de werking van een ‘heel’ blok.

Bovendien worden eventuele microschakelingen kwantitatief beoordeeld showAtelecommunicatie hun moeilijkheden. Als een dergelijke indicator: “ rang integratie» k, gelijk aan de decimale logaritme van de totale hoeveelheid N componenten die op één halfgeleiderchip zijn geplaatst

k = lq N. (1)

In overeenstemming met formule (1) zijn alle microschakelingen verdeeld in microschakelingen van de 1e, 2e, derde, enzovoort graden van integratie. De mate van integratie karakteriseert slechts indirect de complexiteit van microschakelingen, omdat er alleen rekening mee wordt gehouden constructief integratie. In feite hangt de complexiteit van de microschakeling ook af van het aantal onderlinge verbindingen tussen de componenten.

In de technische praktijk wordt het gebruikt kwaliteitskenmerk complexiteit van microschakelingen in de concepten van "klein", "middelgroot", "groot" en "ultragroot" IC.

Tabel 1.1 geeft informatie over de onderlinge correspondentie van kwalitatieve en kwantitatieve maten van IS-complexiteit per type.

Tabel 1.1

IP-naam

Productietechnologie

Aantal componenten op chip

Mate van integratie k

Klein (MIS)

Digitaal

Bipolair

Unipolair

Analoog

Bipolair

Gemiddeld (SIS)

Digitaal

Bipolair

Unipolair

Analoog

Bipolair

Unipolair

Groot (BIS)

Digitaal

Bipolair

Unipolair

Analoog

Bipolair

Unipolair

Extra groot (VLSI)

Digitaal

Bipolair

Unipolair

Meer dan 10.000

Analoog

Bipolair

Unipolair

Uit de analyse van Tabel 1.1 volgt dat, in vergelijking met digitale IC's, analoge microschakelingen met dezelfde mate van integratie meer dan drie keer minder componenten in hun samenstelling hebben (op een halfgeleiderchip). Dit komt doordat de actieve componenten (transistors) van een analoge microschakeling in lineaire modus werken en dissiperen grote hoeveelheid energie. De noodzaak om warmte te verwijderen die wordt gegenereerd door energiedissipatie beperkt het aantal componenten dat op een enkele chip wordt geplaatst. In digitale microschakelingen werken actieve componenten in schakelmodus (transistors zijn vergrendeld of open en in verzadigingsmodus). In dit geval is de vermogensdissipatie verwaarloosbaar en is de hoeveelheid gegenereerde warmte ook verwaarloosbaar, waardoor het aantal componenten op de chip groter kan zijn. (De afmetingen van de kristallen zijn gestandaardiseerd en beperkt.) Met unipolaire technologie is het volume van het kristal dat wordt ingenomen door een veldeffecttransistor ongeveer drie keer kleiner dan het volume dat wordt ingenomen door bipolaire transistor (N- P- N of P- N- P type). Dit verklaart het feit dat de actieve componenten op de chip zitten standaard maten Er kan meer in een unipolaire chip worden ondergebracht.

Door ontwerp Afhankelijk van de functionele complexiteit zijn micro-elektronische apparaten onderverdeeld in:

tot eenvoudige microschakelingen (IC);

voor microassemblages;

naar microblokken.

IC micro-elektronisch product vervaardigd in uniforme technologieOgische cyclus, geschikt voor zelfstandig gebruik of als onderdeel van complexere producten (inclusief microassemblages en microblokken). Microcircuits kunnen zonder frame zijn en hebben een individuele behuizing die het kristal beschermt tegen invloeden van buitenaf.

Micro-assemblage een micro-elektronisch product dat een tamelijk complexe functie (functies) vervult en bestaat uit elektrische en radiocomponenten en microschakelingen, vervaardigd met het doel elektronische apparatuur te miniaturiseren. In wezen zijn hybride chips micro-assemblages. De eenvoudigste microassemblage kan bijvoorbeeld een set microweerstanden zijn, gemaakt op een halfgeleiderkristal en ondergebracht in een enkele behuizing (zoals een microschakeling).

Microblok is ook een micro-elektronisch product, bestaande uit elektrische en radiocomponenten en geïntegreerde schakelingen en voert een complexe functie(s) uit.

In de regel worden microassemblages en microblokken vervaardigd in verschillende technologische cycli, en misschien ook in verschillende productiefabrieken.

Als classificatie technische kenmerken meestal gebruikt energieverbruik(één chip) en sneleeffect.

Door energieverbruik alle IC's kunnen worden onderverdeeld in: A) microOkrachtig(minder dan 10 mW); B) laag vermogen(niet meer dan 100 mW); V) gemiddeld vermogen(tot 500 mW) En G) krachtig(meer dan of = 0,5 W).

Door snelheid(maximale tijdsvertragingen voor signaalvoortplanting door het IC), microschakelingen zijn voorwaardelijk verdeeld in: A) ultrasnel met afsnijfrequentie F g schakelingen boven de 100 MHz; B) snel reagerend ( F g vanaf 50 MHz tot 100 MHz); V) normale snelheid ( F gram vanaf 10 MHz tot 50 MHz). In dit geval liggen de voortplantingsvertragingen in de orde van enkele nanoseconden (10 -9 Met.) tot 0,1 microseconden (1s =10 -6 Met.).

Digitale micro-elektronische apparaten, inclusief microschakelingen en andere discrete actie-apparaten, handig om te classificeren Door X A aard van verslaving uitgangssignalen van ingangssignalen. Zoals gebruikelijk is in de theorie van eindige toestandsmachines. In overeenstemming met deze functie zijn alle apparaten meestal onderverdeeld in combinatorisch En opeenvolgend.

IN combinatorische apparaten de waarden van de uitgangssignalen op elk tijdstip worden op unieke wijze bepaald door de waarden van de ingangssignalen op hetzelfde tijdstip. Daarom kunnen we aannemen dat de werking van dergelijke apparaten niet afhankelijk is van de tijd. Ze worden ook wel “zonder” apparaten genoemd geheugen», enkele cyclus enkelwerkende apparaten of apparaten. In de eindige toestandsmachinetheorie worden combinatorische apparaten "primitieve eindige toestandsmachines" genoemd.

IN seriële apparaten de waarden van de uitgangssignalen (uitgangssignalen) zijn niet alleen afhankelijk van de waarden van de ingangssignalen op het beschouwde moment, maar ook van de waarden van de ingangssignalen op eerdere momenten tijd. Daarom worden dergelijke apparaten apparaten genoemd met “ geheugen», meerdere cyclus apparaten, maar eenvoudigweg in de theorie van eindige-toestandsmachines? eindigetoestandsautomaat(niet triviaal).

Bij het overwegen van het educatieve materiaal, in de toekomst, voor voornaamst laten we deze nemen classificatie, omdat bouwmethoden(synthese) en werkingsprocessen van de genoemde apparaten significant andersAer zijn.

Ter afsluiting van de presentatie van classificatiekwesties merken we op dat de gegeven lijst met classificatiekenmerken en de lijst met namen van micro-elektronische producten (chips) verre van uitputtend is. In de toekomst zullen we, indien nodig, deze lijst aanvullen.

1.3. Logische elementen

Logische elementen behoren tot de eenvoudigste combinatorische “apparaten”, met één uitgang en één of twee ingangen. Ze hebben hun naam gekregen omdat hun werking volledig beschreven kan worden logische functies en in het bijzonder Booleaanse functies.

Net als in de formele logica kunnen alle uitspraken waar of onwaar zijn, en kunnen logische functies slechts twee voorwaardelijke waarden aannemen: logische één (log.1) “true” en logische nul (log.0) “false”.

Bij het beschrijven van de werking van logische elementen uitgangssignalenéén-op-één-correspondentie plaatsen functies, A ingangssignalen argumenten deze functies. Zowel functies als functieargumenten, evenals de ingangs- en uitgangssignalen van logische poorten, zijn dus binair. Als we de werkelijke tijd van de overgang van een logisch element van de ene toestand (state log.1) naar de andere (state log.0) verwaarlozen, zullen noch de argumenten, noch de functies afhankelijk zijn van de tijdsfactor van de tijdvariabele. Er wordt rekening gehouden met regels voor het verkrijgen en converteren van logische expressies algebra van de logica of Booleaans algebra.

Soortgelijke documenten

    Doelstellingen van de cursus: het bestuderen van de circuitbasis moderne computers, computersystemen en netwerken. De belangrijkste generaties van de ontwikkeling van computercircuits. Analoge en discrete elementen. Presentatie methoden digitale informatie, soorten codering.

    lezing, toegevoegd 17-02-2011

    Micro-elektronica is een onafhankelijke wetenschappelijke, technische, technologische richting, historische stadia. Digitale geïntegreerde schakelingen: logische grondbeginselen, signaalcodering, classificatie; ontwikkeling, productie, vooruitzichten voor ontwikkeling en toepassing.

    zelfstudie, toegevoegd op 11/11/2010

    De belangrijkste voordelen van digitale communicatiesystemen vergeleken met analoge. Werkingsprincipes van discrete apparaten, kenmerken van hun constructie. Pulsgeneratorapparaat, synthese van teller, multiplexer en decoder. Ontwikkeling van een asynchrone machine.

    cursuswerk, toegevoegd op 21/11/2012

    Kenmerken van glasvezeltransmissiesystemen. Selectie van het blokschema van digitale VOSP. Ontwikkeling van het eindstation van het communicatiesysteem, AIM-modulatoren. Principes voor het construeren van coderings- en decoderingsapparaten. Berekening van de belangrijkste parameters van een lineair pad.

    proefschrift, toegevoegd op 20-10-2011

    Geïntegreerde schakelingen: informatie, classificatie, grafische aanduiding, markering. Symbolen van microschakelingen, elektrische basisparameters, logische basiselementen. Registers, tellers, decoders, triggers, beveiligingsapparaten.

    lezing, toegevoegd 20-01-2010

    Geïntegreerde schakelingen, signalen. Tact van de bediening van een digitaal apparaat. Markering van digitale microschakelingen van Russische makelij. Basisprincipes van de productie van digitale geïntegreerde schakelingen. Soorten digitale geïntegreerde schakelingen. Schakelingen van de centrale processor.

    presentatie, toegevoegd op 24/04/2016

    Kenmerken en reikwijdte van signalen in digitale verwerkingssystemen. Gespecialiseerde digitale signaalprocessor SPF SM: ontwikkelaars en geschiedenis, structuur en kenmerken, toepassingsgebied, algoritmen en software.

    cursuswerk, toegevoegd op 12/06/2010

    Geïntegreerde schakelingen. Substraten voor dikkefilmmicroschakelingen. Dikkefilmgeleiders en weerstanden. Basiseigenschappen van resistieve films. Soortelijke weerstand van een continue dikke film. Elektrische stroomoverdracht door een dikke filmstructuur.

    samenvatting, toegevoegd 01/06/2009

    Hardwareprincipes voor het construeren van apparaten met microprocessortechnologie en het verwerven van praktische vaardigheden bij het ontwikkelen van microprocessorsystemen. Technische kenmerken van de ATmega-microprocessor en analyse van de geheugenchip. Systeemdiagram van de microprocessor.

    cursuswerk, toegevoegd op 19-11-2011

    Digitale signaalverwerking en het gebruik ervan in spraakherkenningssystemen, discrete signalen en methoden voor hun conversie, de basisprincipes van digitale filtering. Implementatie van spraakherkenningssystemen, homomorfe spraakverwerking, opname- en afspeelinterface.